تبلیغات :
آکوستیک ، فوم شانه تخم مرغی، صداگیر ماینر ، یونولیت
دستگاه جوجه کشی حرفه ای
فروش آنلاین لباس کودک
خرید فالوور ایرانی
خرید فالوور اینستاگرام
خرید ممبر تلگرام

[ + افزودن آگهی متنی جدید ]




صفحه 14 از 21 اولاول ... 4101112131415161718 ... آخرآخر
نمايش نتايج 131 به 140 از 207

نام تاپيک: مهندسی و علم مواد( Material Science & Engineering)

  1. #131
    پروفشنال boomba's Avatar
    تاريخ عضويت
    May 2006
    پست ها
    782

    پيش فرض

    فرآیند جوش نقطه‌ای(2) RESISTANCE SPOT WELDING:

    الكترودها در جوشكاری مقاومتی نقطه ای:

    الكترود در فرآیندهای مختلف مقاومتی می تواند به اشكال گوناگونی باشد كه دارای چندین نقش است از جمله هدایت جریان الكتریكی به موضع اتصال، نگهداری ورق ها بر روی هم و ایجاد فشار لازم در موضع مورد نظر و تمركز سریع حرارت در موضع اتصال. الكترود باید دارای قابلیت هدایت الكتریكی و حرارتی بالا و مقاومت اتصالی یا تماسی (contact resistance) كم و استحكام و سختی خوب باشد، علاوه بر آن این خواص را تحت فشار و درجه حرارت نسبتاً بالا ضمن كار نیز حفظ كند. از این جهت الكترودها را از مواد و آلیاژهای مخصوص تهیه می كنند كه تحت مشخصه یا كد RWMA به دو گروه A آلیاژهای مس و B فلزات دیرگداز تقسیم بندی می شوند، در جداول صفحه بعد مشخصات این دو گروه درج شده است. مهمترین آلیاژهای الكترود مس ـ كروم، مس ـ كادمیم و یا برلیم ـ كبالت ـ مس می باشد. این آلیاژها دارای سختی بالا و نقطه آنیل شدن بالایی هستند تا در درجه حرارت بالا پس از مدتی نرم نشوند، چون تغیر فرم آنها سبب تغییر سطح مشترك الكترود با كار می شود كه ایجاد اشكالاتی می كند. قسمت هایی كه قرار است به یكدیگر متصل شوند


    باید كاملاً بر روی یكدیگر قرار داشته و در تماس با الكترود باشند تا مقاومت الكتریكی تماسی R2, R1 كاهش یابد. مقاومت الكتریكی بالا بین نوك الكترود و سطح كار سبب بالا


    رفتن درجه حرارت در محل تماس می شود كه اولاً مرغوبیت جوش را كاهش می دهد ثانیاً مقداری از انرژی تلف می شود.


    روش های مختلفی برای اعمال فشار پیش بینی شده است كه دو سیستم آن معمول تر است:


    الف) سیستم مكانیكی همراه با پدال، فنر و چند اهرم


    ب) سیستم هوای فشرده با درجه های اتوماتیك مخصوص كه در زمان های معین هوای فشرده وارد سیستم می شود. این فشار و زمان قابل تنظیم و كنترل است.


    درسیستم اول به علت استفاده از نیروی كارگر ممكن است فشار وارده غیر یكنواخت و در بعضی موارد كه دقت زیادی لازم است مناسب نباشد ولی در سیستم هوای فشرده دقت و كنترل میزان فشار بیشتر است.


    جوش مقاومتی برای اتصال فلزات مختلف بكار گرفته می شود. مسئله مهم این است كه چگونگی خواص فیزیكی این فلزات ممكن است بر روی خواص جوش یا موضع اتصال تأثیر بگذارد. همان طور كه اشاره شد حرارت برای بالا بردن درجه حرارت موضع اتصال توسط عبور جریان الكتریكی و مقاومت الكتریكی به دست می آید و یا به بیان دیگر مقاومت الكتریكی بزرگتر در زمان و شدت جریان معین تولید حرارت بالاتری می‌كند و برعكس. مقاومت الكتریكی یك هادی بستگی به طول و نسبت عكس با سطح مقطع دارد. البته جنس هادی هم كه میزان ضریب مقاوت الكتریكی است مهم می باشد.


    بنابراین خصوصیت جوشكاری مقاومتی با تغییر ضخامت ورق، تغییر مقطع تماس الكترود با قطعه و جنس قطعه تغییر می كند.


    البته چگونگی حالت های تماس الكترود با قطعات و تماس خود قطعات عوامل دیگر هستند كه فشار الكترودها و ناخالصی ها در بین این سطوح می توانند بر روی این مقاومت ها موثر باشند.


    مواد الكترودها :

    مس وآلیاژهای آن موادی هستند كه عموما برای الكترودهای جوش نقطه ای انتخاب می شوند .انواع مختلف این مواد در جدول زیر آمده است :

    انتخاب یك آلیاژ برای الكترود بطوریكه برای تمام موادی كه جوش می شوند قابل استفاده باشند بدلایل مختلف امكانپذیر نیست .برای مثال آلومینیوم كه دارای ضریب هدایت بالایی می باشد احتیاج به الكترودی با ضریب هدایت بالا دارد تا از چسبیدن جلوگیری شود و مس سخت كشیده شده

    Hard drawn ) ) یا مس تلوریوم دار علیرغم سختی پایین آن برای این منظور مورد استفاده قرار میگیرد .مس تلوریوم دار دارای این خاصیت است كه براحتی ماشینكاری و پولیش میگردد و سطح تمام شده خوبی را پدید می آورد .مس كرومدار برای جوشكاری همه نوع فولاد مناسب است .زیرا از آلیاژ مس- كادمیوم سخت تر است ودارای یكنواختی دمایی بیشتری است بدون آنكه از هدایت آن زیاد كاسته شده باشد .دلیل اینكه مس-كادمیوم برای جوشكاری ورقهای نازك پیشنهاد میشود این است كه ارزان تر از مس-كروم است و قادر است كه گرمای كمتری را كه در جوشكاری ورقهای نازك بیرون داده میشود را تحمل كند .ورق های ضخیم تر باعث گرم شدن بیشتر نوك الكترود میشوند .اگر دما به 250 درجه سلسیوس برسد دیگر آلیاژ می-كادمیوم مناسب نمیباشد .آلیاژ مس-تنگستن معمولا بصورت بوش مورد استفاده قرار میگیرند كه مساحتی بزرگتر از مقدار لازم برای تامین دانسیته جریان صحیح جوشكاری دارد .دانسیته جریان برای ایجاد نقطه جوش با یك الكترود معمولی در یك طرف اتصال و بوش مس-تنگستن با مساحت بزرگتر در طرف دیگر قرار دارد .نمونه هایی از الكترودها ی نقطه جوش و انبر دستگاه نقطه جوش (گان) در شكل زیر آمده است


    فلزات و آلیاژهایی كه در ساخت الكترودها بكار میروند به گروههای زیر كلاسه میشوند :


    كلاس 1 :


    در این مواد عملیات حرارتی انجام نگرفته و بوسیله كار سرد استحكام پیدا كرده اند .اینكار روی هدایت الكتریكی و گرمایی اثری ندارد .مواد این كلاس برای فولادهای كم كربن كه با لایه نازك سرب و كروم و یا روی پوشیده شده –فولادهای نورد گرم شده و بعضی از فلزات غیر آهنی مانند آلومینیوم و منیزیوم توصیه میشود .


    كلاس 2 :


    این مواد دارای خواص مكانیكی بالاتر از كلاس 1 هستند ولی هدایت حرارتی و الكتریكی آنها كمتر از كلاس 1 میباشد .خواص فیزیكی و مكانیكی اپتیمم با عملیات حرارتی یا تركیبی از عملیات حرارتی و كار سرد پدید می آید .مواد كلاس 2 بهترین ماده برای الكترودهایی برای كارهای عمومی با یك رنج وسیعی از مواد و شرایط مختلف می باشد .این مواد در الكترودهای نقطه جوش فولادهای كم كربن نورد سردشده و فولادهای ضد زنگ و فولاد با پوشش نیكل و غیره استفاده میشود.


    همچنین برای شافتها-بازوها-قالب و بندكها-فكهای تفنگی دستگاه جوش و بقیه اعضا عبور دهنده جریان در تجهیزات جوشكاری مقاومتی مناسب است .


    كلاس 3 :


    مواد این كلاس آلیاژهای سختی پذیر با خواص مكانیكی بهتری از مواد كلاسهای 1 و 2 میباشد اما دارای هدایت الكتریكی و حرارتی پایین تری میباشد .سختی بالا-مقاومت به سایش خوب و دمای آنیل شدن بالای الكترودهای كلاس 3 همراه با هدایت الكتریكی متوسط آن باعث میشود كه این مواد برای الكترودهاییكه در نقطه جوشهایی كه در آنها فشار مقاومت قطعات بالا است استفاده میشود .این مواد برای فولادهای كم كربن با سطح مقطع بالا و فولادهای ضد زنگ بكار میرود .


    انواع الكترود و شكل آنها :


    نوك الكترودهای نقطه جوش باید پروفیل خود را تا آنجا كه ممكن است در شرایط تولید حفظ كند .


    پروفیل صحیح باعث عمر طولانی الكترود میشود .دو شكل استاندارد در موارد عمومی وجود دارد .این دو نوع عبارتند از :


    1 – نوك تخت به شكل یك مخروط وارونه


    2 – نوك گنبدی شكل


    واضح است كه نوكهای گنبدی لازم نیست كه دقیقا با سطح كار همراستا قرار گیرند .بنابراین برای جاهاییكه الكترود بر روی سطح منحنی در قطعه كار قرار میگیرند مناسبند و معمولا در جوشكاری آلومینیوم بكار میروند .نوع نوك تخت در مواردیكه بتواند با قطعه كار همراستا گردد ترجیح داده میشود .زیرا ماشینكاری و شكل دادن و بازرسی آن در ضمن بكارگیری آسان است .پروفیلهای الكترود در شكل زیر نشان داده شده است


    معمولا الكترود را بصورت یك میله استوانه ای شكل با قطر مورد نظر نمیسازند بلكه آنرا بزرگتر ساخته و نوك آن را با زاویه 30 درجه بصورت مخروطی می تراشند .


    افزایش مساحت نوك الكترود در اثر فشار وارده باعث كاهش فشار الكترود و دانسیته جریان می گردد كه هر دو از اهمیت حیاتی برخوردار هستند .پهن شدن الكترود را میتوان با استفاده از سختترین ماده مناسب و بكارگیری ضربه كوتاه و یا به بیان دیگر كاهش بارهای ضربه ای و با خنك كردن مؤثر الكترود در كمترین مقدار خود نگه داشت .پروفیل ساده الكترود نشان داده شده در شكل 6-2 برای بسیاری از كاربردها مناسب است اما همواره قابل انتخاب برای جوشكاری در گوشه ها نمیباشد .انواع دیگر الكترود برای اینگونه از كاربردها قابل دسترس میباشند و در موارد بخصوص میتوان آنها را ساخت تا احتیاجات استفاده كننده را مرتفع سازد


    روش تعویض نوك الكترودها:

    به علت گرما دیدن نوك الكترودها در هنگام جوشكاری و زیر فشار بودن این ناحیه گرما دیده، پس از زدن چند نقطه جوش، قطعه نامبرده تغییر شكل می دهد. در نتیجه سطح مشترك نوك الكترودها بزرگتر و ناصافتر می شود. بنابراین پس از حدود 250 بار نقطه جوش زدن، لازم است كه نوك الكترودها تراشیده شود تا شكل اولیه شان بازیابی شود. این قطعات در اثر تراشیده شدن، كوتاه تر می شوند. بنابراین لازم است پس از آن كه هر قطعه به اندازه مشخصی رسید با قطعه نو تعویض شود. این جایگزینی بسته به شكل قطعه، جنس آن و نیز روش ساخت آن (تراشكاری شده یا آهنگری شده) ممكن است پس از اعمال 1200 یا 2500 نقطه جوش، مورد نیاز باشد.


    برای تعویض این قطعه (نوك الكترود) روش های گوناگون وجود دارد:


    یك روش آن است كه با نصب تجهیزات تمام خود كار، كل فرآیند تعویض قطعه بدون دخالت انسان انجام پذیرد. روش دیگر استفاده از یك ابزار ساده دستی است كه كاربر با اهرم كردن شاخك های آن در زیر قطعه و در محل شیار موجود می تواند آن را از جایش درآورد و پس از جازدن قطعه نو به كمك گردی سطح زیرین ابزار، قطعه را درمحل خود محكم كند. روش سوم استفاده از شكل هندسی مخروطی نگهدارنده نوك الكترود است بدین معنی كه سطح تماس قطعه نوك الكترود با نگهدارنده آن، سطح جانبی یك مخروط ناقص است. این ویژگی هندسی باعث می گردد تا با اعمال چند ضربه آرام در دو سوی قطعه نوك الكترود، این قطعه به تدریج درموضع خود لق شود تا این كه به راحتی و با دست از جای خود بیرون آید. پس از نصب قطعه نو، با اعمال چند ضربه آرام به سر قطعه، می‌توان آن را در جای خود محكم كرد.


    زمانی كه كارگر متوجه شود كه گان خوب جوش نمی‌زند، شاید یكی از علت‌های آن احتیاج بهTip dress نوك الكترود باشد. در این روش نوك الكترود بوسیلهTip dress برای جوشكاری آماده می شود البته نحوه Tip dress خیلی مهم می‌باشد و نیاز به مهارت و آموزش دارد.


    Tip dress در دو نوع بادی و برقی می باشد كه در گان ها از نوع برقی آن استفاده می شود و این نوع، محدودیت فشاری دارد (با هر فشار و نیرویی نمی توان استفاده كرد) البته در این نوع Tip dress یك لوله برای باد هم وجود دارد. یكی دیگر از كارهایی كه برای بهتر شدن كیفیت جوش بر روی الكترود انجام می‌شود سمباده زدن آن می‌باشد.


    تكنیك های جوشكاری نقطه ای:

    نكاتی را در عملیات جوشكاری نقطه ای باید در نظر داشت كه اهم آنها عبارتند از:

    الف) تمیزی سطوح تماس:

    سطح كار و سطح الكترودها باید همواره تمیز نگهداشته شوند. گرد و غبار روی فلز در اثر ایجاد حوزه مغناطیسی، ضمن كار، به اطراف محل جوش متمركز شده و ممكن است در سطح مشترك دو ورق یا سطح تماس الكترودها و كار قرار گیرند، گرد و غبار و ناخالصی های دیگر اولاً باعث بالا بردن مقدار مقاومت تماسی و اتلاف انرژی می شوند و ثانیاً در فصل مشترك دو ورق وارد مذاب شده و خواص دكمه جوش را كاهش می دهند. تمیز كردن نوك الكترودها باید با كاغذ سمباده ظریف یا پارچه و با دقت شود تا از تلفات نوك الكترود بصورت براده جلوگیری شود.


    اگر الكترودها به وسیله سیستم سرد كننده آبگرد خنك می شوند باید توجه شود كه آب از الكترود به خارج نفوذ نكند. در مورد فلزاتی كه ایجاد لایه اكسیدی دیر گداز می كنند (نظیر آلومینیوم، تیتانیوم) لازم است علاوه بر تمیزكردن سطح كار، اكسیدهای سطحی نیز توسط محلول های اسیدی مخصوص حذف شده و بدیهی است كه آثار محلول یا اسید نیز باید از روی كار كاملاً تمیز شود تا از تشدید عمل خوردگی در این سطوح جلوگیری شود.


    ب) تنظیم كردن ماشین و محل جوش بر روی كار:


    میزان كردن محل جوش بر روی كار توسط جوشكار یا بطور خودكار با ماشین انجام می گیرد. اگر قرار است این عمل توسط كارگر انجام گیرد باید حتی الامكان از الكترود ثابت استفاده شود. ولی معمولاً در تولیدهای سری و انبوه تنظیم محل جوش بر روی كار توسط ماشین انجام می گیرد.


    یكی از متداول ترین روش برای تنظیم كردن دستگاه جوش انجام چند نمونه جوش نقطه ای بر روی دو ورقه قراضه با مشخصات شبیه قطعه كار (جنس و ضخامت) می باشد. پس از انجام جوش های نمونه بر روی این ورق ها آنها را از یكدیگر جدا یا پاره كرده و محل جوش را مطالعه می كنند، بنابراین :


    1ـ اگر شدت جریان كافی نباشد دكمه جوش براحتی از ورق ها جدا شده و اثر چندانی بر روی ورق باقی نمی ماند.


    2ـ شدت جریان خیلی زیاد باعث نفوذ دكمه جوش تا سطح كار می شود كه در این حالت نیز استحكام جوش ایده آل نخواهد بود. اصولاً عمق نفوذ دكمه جوش نباید از 60 درصد ضخامت ورق بیشتر باشد.


    البته عدم تنظیم صحیح زمان نیز منجر به اثر گذاشتن جوش در سطح كار می شود و چنانچه جریان الكتریكی قبل از فشرده شدن كامل ورق ها عبور كند جرقه ای در سطح تماس الكترود و كار ایجاد می شود.


    آزمایش جوش را از طریق استانداردهایی نیز می توان انجام داد از جمله دو قطعه به پهنای 5/7 سانتی متر و طول 10 سانتیمتر بریده و لبه های آنها را به اندازه 5/2 سانتیمتر بر روی هم سوار كرده و سه نقطه جوش در مركز مربع های مبانی مطابق شكل ایجاد می كنند. سپس جوش اول كه جریان الكتریكی فقط از آن عبور كرده و دارای شرایط متفاوتی با آنچه كه در عمل اتفاق می افتد است را جدا كرده و جوش های دوم و سوم را به صورت نواری به پهنای 5/2 سانتیمتر و طول 5/17 سانتیمتر جدا كرده و تحت آزمایش كشش قرار می دهند. نیروی لازم برای پاره كردن جوش محاسبه شده و با جداول مخصوص كه نشان دهنده استاندارد مشخصات فنی جوش اتس مقایسه می شود . ازجداول عملی بعنوان راهنما نیز برای انتخاب و تنظیم شرایط كار، اندازه الكترود و پارامترهای دیگر مورد استفاده قرار می گیرند.


    ج) ظاهر جوش:


    معمولاً ظاهر جوش شامل یك فرورفتگی و یك حلقه رنگی حرارتی در اطراف تماس الكترود و كار می باشد در مواردی كه سطح كار باید تمیز باشد فرورفتگی های محل جوش نقطه ای را می توان از طریق استفاده الكترود مسطح نوع C و مخروط نوع E اهش داد. واضح است كه الكترود مسطح را در طرفی كه نیاز به تمیزی فوق العاده است قرار می دهند. استفاد از یك الكترود مسطح و یك الكترود مخروط ناقص در جوشكاری ورق های نازك به كلفت نیز مفید است، در این شرایط الكترود مسطح بر روی ورق نازك قرار می گیرند. در حالت های معمولی جوشكاری مقاومتی نقطه ای فاصله جوش ها نباید از میزان معینی كمتر باشد چون مدار بسته ای با جوش مجاور ایجاد كرده و جریان الكتریكی به اندازه كافی از موضع جوش در بین الكترودها نمی گذرند.


    بهسازی در جوشكاری مقاومتی نقطه‌ای:

    بنا به نیاز و شرایط كار، بهسازی و تغییراتی در نحوه جوشكاری نقطه ای ساده بعمل آمده است كه به چند نمونه آن در زیر اشاره می شود:


    الف) جوش با الكترود چندتایی Multiple Electrode:


    همانطور كه از نام آن استنباط می شود در این فرآیند از چندین الكترود استفاده می شود و همزمان چندین جوش نقطه ای بر روی كار انجام می گیرد. در این فرآیند از دو نوع طرح برای تامین انرژی استفاده می شود. مستقیم (موازی) و غیر مستقیم (سری). در سیستم مستقیم از یك ترانسفورماتور استفاده می شود كه مدار ثانویه بصورت های مختلف مطابق شكل می تواند چندین جوش را همزمان انجام دهد. در سیستم سری از تعدادی ترانسفورماتور استفاده می شود كه مطابق شكل با طرح های مختلف می تواند همزمان چندین نقطه جوش را بر روی كار بوجود آورد. مزیت روش دوم آنست كه می توان ولتاژ بالایی رادر موضع جوش بوجود آورد و یا برای ایجاد ولتاژ معین از ترانسفورماتورهای كوچكتری استفاده كرد. اما در مقابل باید شرایط ترانسفورماتورها و مقاومت ها در الكترودها و كیفیت سطوح كاملاً یكسان باشد تا خواص جوش هایی كه همزمان ایجاد شده مشابه باشد.


    ب) جوش دكمه ای یا دیسكی Button or disk welding:


    در جوشكاری ورق های سنگین و كلفت، به فشار و انرژی الكتریكی زیادی نیاز است، با استقرار قطعات كوچك فلزی بین سطح مشترك ورق ها، عبور جریان الكتریكی را موضعی تر كرده و سطح تماس را كاهش می دهند و با ذوب این دكمه ها دو ورق با انرژی الكتریكی و فشار كمتری به همدیگر متصل می شوند.


    ج) جوش پل واره Bridge welding:


    مطابق شكل از ورق های اضافی برای بالا بردن استحكام اتصال دو قطعه استفاده می شود.


    د) جوش له كردنی Mash welding:

    این روش درتولید شبكه های سیمی نظیر سبد یا محافظ های توری لامپ های مختلف یا اسكلت مفتولی برای بتن های مسلح و یا سیم به ورق نظیر چرخ های بعضی از انواع اتومبیل به میزان فراوان بكار گرفته می شود. سیم ها با طرح لازم بر روی فك ها با الكترودی كه به صورت مسطح با شكاف های پیش بینی شده قرار می گیرند و با یك فشار و پایین آوردن الكترود جریان الكتریكی از محل تماس سیم های روی هم قرار داده شده عبور كرده و بر اساس جوش مقاومتی ذوب موضعی در این محل ها بوجود آمده و پس از پایان عبور جریان الكتریكی عمل اتصال انجام می گیرد.


    پارامتر های دستگاه٫ مؤثر بر جوش نقطه‌ای:


    PRSO: مدت زمان بر حسب سیكل كه دو الكترود بر قطعه مماس گردند.


    SQ: مدت زمانی است كه قطعه توسط دو الكترود به هم فشرده می‌شود تا نیروی وارده بر قطعه كار تثبیت گردد.


    Weld1: مدت زمان انجام پبش‌جوش می‌باشد. مدت زمانی است كه جریان متناسب با Heat1 و یا Current1 از قطعه عبور می‌كند.


    Cool: فاصله زمانی بین Weld1 و Weld2 است كه در آن دو سر الكترودها خنك می‌گردد.


    Up Slope: مدت زمانی است كه طول می‌كشد جریان (Heat) از صفر به مقدار تعیین شده در Current2 (Heat2) برسد.


    Weld2: مدت زمانی است كه جریان جوش اصلی از قطعه متناسب با Current2 و یا Heat2 از قطعه عبور می‌كند.


    PU: تعداد تكرار جوش اصلی می‌باشد.


    Down Slope: مدت زمانی است كه طول می‌كشد جریان از مقدار اصلی خود در Weld2 به مقدار صفر برسد.


    Hold: مدت زمانی است كه دو قطعه بعد از پایان عمل جوش توسط دو الكترود به هم فشرده می‌شود.


    Off: مدت زمانی است كه طول می‌كشد الكترودها از یكدیگر فاصله گرفته و به حالت اولیه خود باز گردند.


    Heat: كه بر حسب درصد بیان می‌گردد و نشان دهنده درصد توان خروجی از ترانس می‌باشد.


    Current: پارامتری بر حسب كیلو آمپر است كه مقدار جریان در پیش جوش و جوش اصلی را تعیین می‌كند.


    تأثیر پارامترهای جوش بر كیفیت:

    PRSO: این پارامترها بایستی به گونه‌ای تنظیم شود كه بعد از پایان زمان PRSO با تنظیم SQ دو الكترود به هم برسند، در غیر این صورت جرقه خواهیم داشت.


    SQ: جهت تأمین نیروی مورد نیاز جوش بایستی این پارامتر به درستی تنظیم شود. با افزایش نیروی الكترودها، سطح تماس دو فلز در نقطه اعمال نیرو افزایش می‌یابد و افزایش سطح تماس منجر به كاهش مقاومت الكتریكی در نقطه تماس می‌شود.


    نكته: زمان SQ بایستی به حدی باشد كه نیروی الكترودها قبل از زمان شروع Weld به یك حد ثابتی رسیده باشد. اگر مقدار SQ كم باشد، با پاشش مذاب یا جرقه مواجه خواهیم بود.


    Weld1: این پارامتر مخصوصاً در مواردی كه ورق پوشش (Coating) داشته باشد و یا ضخامت ورق زیاد باشد، حائز اهمیت است.


    در مورد ورق‌های پوشش دار، در صورتی كه Weld1 استفاده نشود، باعث جرقه و چسبیدن سره‌ها خواهد شد.


    در مورد ورق‌های با ضخامت زیاد، عدم استفاده از Weld1 موجب چسبیدن سره و عدم جوش مناسب می‌گردد.


    Cool: در صورت عدم استفاده از Cool، مقاومت سطحی روی سره و ورق مقابل، مقاومت سطحی بین ورق‌ها قابل ملاحظه بوده و باعث تلفات بیشتر در ناحیه بین ورق و سره می‌شود كه نهایتاً موجب چسبیدن سره خواهد شد. در ضمن استحكام جوش مناسب نخواهد بود.


    Up Slope: به منظور رسیدن به جوش‌های با كیفیت بالا استفاده می‌شود. در صورت اعمال جریان ناگهانی، ورق‌ها خواص اصلی خود را ازدست می‌دهند و استحكام مناسبی حاصل نخواهد شد. به همین دلیل از Up Slope استفاده می‌شود.


    Weld2: در مورد اثر زمان جوش به نكات زیر می‌توان اشاره كرد:


    در صورتی كه زمان Weld2 ازحد مورد نیاز بیشتر گردد، دمای ناحیه بین دو ورق از نقطه جوش بالاتر می‌رود و باعث پدید آمدن حباب‌های گاز در این ناحیه می‌شود كه درنتیجه موجب انفجار و پاشیدن ذرات فلز و یا جرقه زدن می‌شود.


    در صورت زیاد بودن زمان Weld2 از حد مورد نیاز، عدسی جوش به سمت سطوح الكترود رشد كرده و باعث آسیب شدید به الكترودها می‌شود.


    طبق رابطه Q = RTI2 تولید حرارت تابعی از جریان می‌باشد. به این معنی كه تغییر در میزان حرارت می‌تواند با تغییر جریان یا با تغییر زمان تأمین شود.


    باید توجه داشت كه نمی‌توان در قبال افزایش جریان، زمان را خیلی كوتاه كرد. اولین اثر زمان ناكافی این است كه تولید حرارت سریع در سطوح تماس، باعث تولید جرقه، فرورفتگی و سوختگی سطح مخصوصاً سطوح الكترودها می‌شود.

    PU: وقتی ورق‌های ضخیم جوش داده می‌شوند، از جوش چند مرحله‌ای استفاده می‌شود. مزیت اصلی این روش این است كه در خلال زمان سرد شدن، بین مراحل تكرار، به كمك الكترودها كه با آب خنك می‌شوند و قابلیت هدایت گرمایی بالایی دارند، می‌توان حرارت بیشتری را از سطوح خارجی قطعه كار پراكنده كرد. بنابراین اختلاف دما بین ناحیه جوش و سطوح خارجی قطعه كار زیاد شده و در مقایسه با زمانی كه جوش در یك مرحله انجام می‌شود، می‌توان حرارت بیشتری را به قطعه داد.

  2. #132
    پروفشنال boomba's Avatar
    تاريخ عضويت
    May 2006
    پست ها
    782

    پيش فرض

    اصطلاحات عمليات حرارتی

    قسمت اول


    Age Hardening

    پيرسختي فرآيندي است كه فولاد به مروز زمان و يا با افزايش دما سختي و مقاومتش را افزايش مي يابد و بطور معمول نرمي فولاد را كاهش مي دهد. پيرسختي معمولاً به دنبال خنك سازي سريع يا سردكاري اتفاق مي افتد و بر روي تمام ورقهاي نوردسرد انباري تأثير مي گذارد. براي فولادهايي كشش ضمانت استاندارد 6 ماه مي باشد.
    -
    Aging

    پيري تغييرات در خواص فيزيكي و مكانيكي است و موقعي اتفاق مي افتد كه فولاد كم كربن براي مدت زماني انبار شود. و C و N بتوانند خود را به نابجايي ها برسانند و از لغزش صفحات كريستالي جلوگيري كنند. پيري همچنين با در معرض قرار گرفتن فولاد در درجه حرارت بالا شدت مي يابد.

    Annealing

    بازپخت اين يك روش عمليات حرارت دهي است كه بوسيله آن كلاف فولادي نوردسرد براي شكل پذيري و خم كاري آماده و مناسب تر مي شود . ورق فولادي در يك درجه حرارت مشخص در مدت زمان كافي گرم مي شود و سپس خنك مي شود . دليل انجام اين عمليات حرارت دهي اين است كه ساختار و دانه ها در هنگام نوردسرد ، به هم فشرده مي شوند و بدين ترتيب فولاد ترد و شكننده مي شود . عمل بازپخت در واقع ساختار ذرات كريستالي فولاد را با ايجاد دانه هاي جديد در درجه حرارت بالا دوباره بوجود مي آورد . روش بازپخت به دو طريق مي تواند انجام پذيرد: يك پخت (Batch) و مداوم (Continuos) در روش اول 3 تا 4 كلاف نوردسرد روي هم قرار مي گيرند و محفظه اي از بالا روي آنها را مي پوشاند . تا مدت 35-24 ساعت ، ‌فولاد در يك فضاي بدون اكسيژن (بنابراين زنگ نمي زند) حرارت مي بيند و به تدريج خنك مي شود . روش دوم كه همان روش مداوم است معمولاً بخشي از يك خط اندودكاري ، كلاف فولادي باز مي شود و از ميان يك سري حلقه هاي عمودي در يك محفظه گرمكن عبور مي كند و ميزان حرارت و خنك سازي براي دستيابي به خواص مكانيكي فولاد كنترل مي شود.
    -
    Argon – Oxygen Decarburization

    كربن زدايي با گازهاي اكسيژن - آرگون كربن زدايي با گازهاي اكسيژن – آرگون ، روشي است براي تصفيه بيشتر فولاد زنگ نزن از طريق كاهش ميزان كربن در فولاد زنگ نزن . انجام اين فرآيند بدين خاطر است كه كربن در فولاد زنگ نزن بايد كمتر از فولاد كربني يا كمتر از فولاد آلياژي باشد (براي نمونه فولاد حاوي عنصر آلياژي كمتر از 5 درصد ) . در حالي كه كوره هاي قوس الكتريكي وسيله مرسوم براي ذوب و تصفيه فولاد زنگ نزن هستند . كربن زدايي با اكسيژن – آرگون (AOD) يك مكمل اقتصادي محسوب مي شود بطوري كه زمان عمليات ذوب و درجه حرارت را در فولادسازي به روش كوره هاي قوس الكتريكي كوتاهتر و پايين تر مي آورد. در اين فرآيند ، فولاد مذاب و تصفيه نشده از كوره هاي قوس الكتريكي به داخل پاتيل جداگانه اي منتقل مي شود و مخلوطي از گازهاي اكسيژن و آرگون از كف پاتيل به داخل فولاد مذاب دميده مي شود سپس عاملهاي تميزكننده به همراه گازهاي فوق الذكر به داخل پاتيل اضافه مي شوند تا ناخالصي ها را حذف نمايند. در حالي كه اكسيژن با كربن موجود در فولاد تصفيه نشده تركيب مي شود تا ميزان كربن آن را كاهش دهد. وجود آرگون موجب تقويت ميل تركيبي كربن با اكسيژن مي شود و از اين رو حذف كربن را تسهيل مي كند.


    Batch Anneal


    بازپخت غيرمداوم فرآيندي است كه بوسيله آن تعدادي كلاف فولادي (4 كلاف بصورت عمودي روي هم قرار گيرند) به مدت طولاني در معرض عمليات حرارتي قرار داده مي شوند . اين فرآيند ورقهاي نورد سرد را قادر خواهد ساخت تا با كريستاليزه شدن كامل به محصولي تا حد ممكن نرم تيديل شوند تا خصوصيات مورد نظر مشتري را تأمين نمايند.

    Calorizing

    كالوريزه كردن پوشاندن آلياژهاي آهن دار با آلومينيم يا آلياژهاي آهن و آلومينيم است كه ايجاد يك لايه مقاوم در برابر اكسايش مي كند. اين عمل با حرارت دادن جسم در گرد آلومينيم در درجه حرارت 800 تا 1000 درجه سانتي گراد انجام مي شود.

    Carbo-Nitriding

    كربورنيتريد كردن فرآيند و روش سخت كردن فولاد است كه در آن اجزاء فولاد در يك اتمسفر حاوي كربن و نيتروژن حرارت داده مي شود.

    Carburising

    كربن دهي وارد كردن كربن در لايه سطحي فولاد كه حاوي كربن كم است . اين روش بوسيله حرارت دادن در واسطه كربن دار جامد ، مايع يا گاز انجام مي شود. عمق نفوذ كربن در سطح فولاد با ميزان حرارت و زمان عمليات كنترل مي شود بعد از كربن دهي براي سخت كردن اجزاء تشكيل دهنده فولاد بوسيله حرارت دهي در درجه حرارت مناسب و سرمادهي لازم است .

    Case – Hardening

    برون سخت سازي فرآيند سخت سازي سطح فولاد در حاليكه داخل آن بدون تغيير مي ماند ، فولادهاي كربني و آلياژي براي برون سخت سازي مناسب هستند عناصر سخت پذير در آنها . مقدار لازم موجود باشد. در اين فرآيند مخصوصاً در مورد فولادهاي آلياژي با يك پوسته سخت و مغزي چقرمه بوجود مي آورد.


    Cladding

    روكش كاري روكش كاري ،‌روش مورد استفاده در پوشش فولاد كربني يا فولاد كم آلياژي (فولاد حاوي عنصر آلياژي زير 5 درصد) با فولاد زنگ نزن است . اين روش ، مقاومت در برابر خوردگي و زنگ زدگي فولاد معمولي را افزايش مي دهد و در مقايسه با استفاده محض از فولاد زنگ نزن از هزينه بسيار كمتري برخوردار است . به سه روش اين كار انجام مي گيرد كه عبارتند از : 1) جوشكاري فولاد زنگ نزن بر روي فولاد كربني 2) ريختن فولاد زنگ نزن مذاب در اطراف يك تختال فولاد كربني جامد در قالب 3) قرار دادن تختالي از فولاد كربني بين دو ورق فولاد زنگ نزن و اتصال آنها با نورد در درجه حرارت بالا در كارخانه نورد ورق .


    Coating

    اندودكاري ، رنگ كردن ، پوشش كردن فرآيندي است براي پوشش دادن فولاد با ماده ديگر كه غالباً براي مقاومت در برابر خوردگي است (مثل قلع ، روي يا كروم) . 1. شكلي از سطح حفاظتي يا تكميل كاري زينتي است . سطوح حفاظتي در قبال خوردگي يا سايش با آبكاري الكتريكي ، رسوب شيميايي ،‌ غوطه دادن ، جوشكاري ، پاشيدن ، تبخير حرارتي در خلاء يا جوي از گازي خنثي صورت مي گيرد كه همراه پيوندي مكانيكي يا نفوذي با فلز بنياني است . 2. استفاده از پوشش محافظ در سطح ورقهاي فولادي ‌، يكي از روشهاي مؤثر كاهش اكسايش فلز و عيوب حاصل هنگام حرارت ديدن در حرارتهاي زياد است .

    Cold Galvanizing

    گالوانيزه كاري سرد روش كاربرد اندودهاي محافظ روي است و شامل گرد روي بسيار نرم و يك چسب در يك حلال ، مانند پلي استيرن و غيره است . حلال تبخيرشده و لايه اي محتوي حدود 95 درصد روي از خود باقي مي گذارد ، در حقيقت رنگ گردن با رنگ غني از فلز روي است .


    Corex

    كوركس فرآيند كوركس يك روش ذوب با كاربرد زغال سنگ است كه فلز مذاب يا چدن خام توليد مي كند. محصول اين روش مي تواند توسط كارخانجات ذوب فولاد به روش كوره هاي قوس الكتريكي يا به روش كوره بلند استفاده شود. در اين فرآيند زغال سنگ كك نشده را در يك واكنشگر ذوب كه آهن مذاب نيز توليد مي كند به گاز تبديل مي كند . زغال سنگ تبديل شده به گاز به داخل يك كوره عمودي تزريق مي شود و در اينجا اكسيژن از كلوخه سنگ آهن و يا گندله جدا مي شود ، سپس آهن احياء شده به واكنشگر ذوب ارسال و آن را تغذيه مي كند.


    Corex Process

    فرآيند كوركس فرآيند كوركس با حذف باتريهاي كك سازي ، از دو كوره تشكيل شده : كوره ذوب و مولد گاز و كوره احياء كننده . در كوره ذوب و مولدگاز . زغال سنگ از بالا وارد گنبد آن گرديده و با سوختن و توليد حرارتي حدود oc1000 ، تجزيه حرارتي صورت گرفته و گازهاي اكسيدكربن ،‌ گازكربنيك ، هيدروژن سولفوره (H2S) و Co2 توليد و حداكثر حدود 1 درصد گاز متان باقي مي گذارد . اين گازها پس از گردگيري وارد كوره دوم يعني كوره احياء مي گردند. گرد حاصله وارد كوره اول مي شود . در كوره احياء ،‌ سنگ آهن يا گندله يا كلوخه و اضافات از بالا وارد و با گازهاي احياء كننده ،‌ كه از پهلو وارد آن شده برخورد و احيا و به آهن اسفنجي تبديل مي گردد. آهن اسفنجي حاصله وارد كوره ذوب و مولد گاز مي شود. اكسيژن نيز از پايين وارد اين كوره مي گردد. آهن اسفنجي در اين كوره ذوب و به فلز مذاب يا چدن تبديلي و سرباره تبديل كه به طرق سنتي به فولاد تبديل مي گردد. قسمتي از گازهاي حاصله از كوره ذوب و مولد گاز بدين طريق استفاده مي شود.


    Decarburisation

    كربن زدايي خارج شدن كربن از سطح فولاد در نتيجه حرارت دهي در محيط كم كربن ، در طي نورد فولاد ، سطوح داغ در معرض اثرات كربن زدايي اكسيژن در محيط قرار مي گيرند و بدين صورت سطح فولاد از كربن تهي مي شود.

    Decopperisation

    مس زدايي حذف مس از فولاد مذاب است .

    Degassing


    گاززدايي دفع گازهاي محلول در فولاد مذاب قبل از ريخته گري با مواد گازهايي بي اثر مانند آرگون و يا با تركيبات خاص گازهايي مضر را (H2 - Co – N2 – o2) از فلز مذاب خارج مي كنند .


    Deoxidation

    اكسيژن زدايي عناصري همچون سيليس و آلومينيوم هنگامي به فولاد مذاب اضافه مي شوند تشكيل اكسيدهاي بادوامي را مي دهند و مقدار اكسيژن محلول در مذاب را كاهش مي دهند قابليت حل شدن اكسيژن در فولاد با كم شدن درجه حرارت در هنگام جامد شدن فولاد كاهش مي يابد و اكسيژن اضافي تركيب مي شود و ايجاد منواكسيد كربن مي كند.


    Desulfurization

    گوگرد زدايي عملياتي است كه توسط آن يك تركيب شيميايي پايه قليايي خاكي به داخل پاتيل حاوي فلز مذاب تزريق مي شود تا گوگرد را قبل از بارگيري فلز مذاب به داخل كوره اكسيژني خارج سازند .اين عمليات بدين دليل است كه گوگرد در عمليات ذوب در كوره بلند از طريق كك به فولاد وارد ميشود و تعداد اندكي از فولادسازان وجود دارند كه قادر به خارج كردن گوگرد از فولاد هستند. به دليل اينكه گوگرد اضافي در فولاد تأثيرمنفي تري گرم يا سرخ شكنندگي داشته بر ويژگيهاي جوشكاري و شكل پذيري فولاد مي گذارد، لذا ، كارخانجات فولاد بايد اين مرحله را به فرآيند فولادسازي خود اضافه نمايند.



    Detining

    قلع زدايي ، قلع گيري روش بازيابي قلع از حلبي ها قراضه است .

    Dip Coating

    اندودكاري غوطه اي اندودي است كه با فرو بردن فولاد در مذابي همچون قلع و روي ايجاد مي شود.

    Dipping Acid

    فروشويي اسيدي فروبردن قطعه فولادي در حمام اسيد براي اسيدشويي و پوسته زاديي و غيره است و اين كار را مي توان با اسيدكلريدريك و اسيدسولفوريك انجام داد.

    Electroplating

    آبكاري فرآيندي است كه با استفاده از الكتروليز فلزي را روي فلز پايه مي نشانند. اين پوشش دهي مي تواند كاركردي (براي حفاظت از خوردگي) يا براي تزيين باشد.

    Flux

    كمك ذوب عامل تصفيه كننده آهن است . عناصر قليايي خاكي از جمله سنگ آهك و آهك با ناخالصي هاي درون مذاب واكنش نشان مي دهد و ايجاد سرباره ميكند . سرباره بوجود آمده بر روي آهن مذاب كه نسبتاً سنگين تر است شناور مي شود.

    Gas Carburising

    كربن دهي گازي شيوه عمليات حرارتي مورد استفاده در سخت گرداني فولاد است بدينوسيله كربن به داخل لايه هاي بيروني سطح آهن با حرارت دادن در يك جريان گازي جذب مي شود اين گازها غني از كربن هستند.

    Graphitising

    گرافيت زايي فرآيند بازپخت است كه در ريخته گري آهن وفولاد با كربن و سيليسيم زياد استفاده مي شود و تمام يا قسمتي از كربن تركيبي به كربن گرافيتي يا آزاد تبديل مي شود.

  3. #133
    پروفشنال boomba's Avatar
    تاريخ عضويت
    May 2006
    پست ها
    782

    پيش فرض

    اصطلاحات

    قسمت دوم


    Hardening

    سخت گرداني سخت گرداني فرآيندي است كه طي آن سختي فولاد افزايش مي يابد ، براي مثال درجه سختي كه فولاد در مقابل برش ،‌سايش ،‌خمش و كشش مقاومت خواهد كرد . اين فرآيند براي افزايش پايداري است تا با سخت گرداني ، فولاد براي كاربردهاي خاص و اضافي مناسب شود. سخت گرداني فولاد مي تواند از طريق شيوه هاي مختلف حاصل شود كه عبارتند از : 1. عمليات حرارتي ، كه در اين شيوه خواص فولاد با قرار دادن فولاد در معرض يك سري تغييرات درجه حرارت تغيير داده مي شود. 2. سردكاري ،‌ در اين شيوه تغييرات در ساختار و شكل فولاد از طريق نوردكاري ، چكش كاري يا تسطيح كاري فولاد در درجه حرارت نسبتاً پايين حاصل مي شود.
    -
    Heat Treatment

    عمليات حرارتي تغيير خواص فولاد با قرار دادن آن در معرض تغييرات حرارتي است . هدف از عمليات حرارتي بر روي فولاد افزايش سختي ،‌ پايداري يا قابليت انعطاف پذيري فولاد است تا آن را براي كاربردهاي بيشتر مناسب سازند. در عمليات حرارتي ،‌فولاد حرارت داده مي شود و سپس براي ايجاد تغييرات در شكل ساختاري آن لازم است سرد شود تا خصوصيات دلخواه به آن منتقل شود . مدت زمان صرف شده براي هر حرارت و ميزان خنك سازي تأثير به سزايي در عمليات حرارتي دارند.

    Heavy Coating

    پوشش دهي ضخيم وضعيتي است كه موجب پوشش زياد از حد بر روي ورق فولادي مي شود.

    Hot Dip Galvanizing After Fabrication

    گالوانيزه كاري داغ بعد از ساخت فرآيندي است كه براي توليد پوشش فلز روي بر روي محصولات فولادي ساخته شده با غوطه ور كردن كامل محصول فولادي در حمام روي مذاب مورد استفاده قرار مي گيرند . اين فرآيند اندودكاري چند لايه متالوژيك با ضخامت 4 ميلي متر كه شامل لايه هاي آلياژي آهن – روي كه با عرض روي پوشش داده شده است را امكان پذير ميسازد.

    Hot Finishing

    تكميل كاري داغ (آماده سازي) عملياتي است كه مثلا ً در نوردكاري براي توليد ورق انجام مي دهند

    Hot Quenching

    سردكردن داغ خنك كردن در درجه حرارت بيش از درجه حرارت محيط است .

    Induction Hrdening

    سخت كاري القايي يك فرآيند بسيار متداول براي سخت كاري سطح فولاد است . در اين فرآيند بوسيله جريانهاي الكتريكي القايي و حرارت ايجاد شده و بعد از سردكردن ، سختي مورد نظر حاصل مي گردد.

    Kaldo Process

    فرآيند كالدو روشي است براي توليد فولاد از آهن مذاب ، با استفاده از يك كنورتر گردان مورب و يك لانس اكسيژني كه با آب خنك شده و وارد دهانه كنورتر مي شود . اين روش توليد فولاد ابتدا در سوئد بكار گرفته شده است و يك روش قديمي است و ديگر در انگلستان مورد استفاده قرار نمي گيرد.


    Killed Steel

    فولاد آرام يا كشته شده اين اصطلاح براي اين نوع فولاد نشان مي دهد كه فولاد مذكور بطور كامل با اضافه نمودن عاملي نظير سيلسيم يا آلومينيوم قبل از ريخته گري اكسيدزدايي شده است . بنابراين عملاً در مدت جامد شدن هيچ گازي تشكيل نمي شود . فولادهاي آرام از درجه بالاي تجانس شيميايي برخوردار هستند و بدون تخلخل است .

    L – D Process

    فرآيند ال . دي . فرآيند فولادسازي اكسيژني به نام فرآيند ال . دي مشهور شد بعد ازاينكه اين فرآيند در شهرهاي لينتس و دوتاويتس اتريش جاييكه اين فرآيند اولين بار ايجاد و توسعه داده شد. اين فرآيند در واقع فرآيند اصلاح شده بسمر است كه فولاد در يك كنورتر با دمش اكسيژن داخل حمام آهن مذاب بوسيله لانس وارد شده به دهانه كنورتر توليد مي شود. در حال حاضر كارخانجات فولادسازي اكسيژني (BOS) فرآيندهاي توسعه يافته فرآيندهاي ال . دي . هستند.

    Liquid Carburising

    سخت كاري مايع يكي از روشهاي سخت كاري فولاد است كه بطور گسترده مورد استفاده قرار مي گيرد و پوسته شدن و گرايش به كربن زدايي را از بين مي برد . اين كار از طريق غوطه ور ساختن فولاد در حمام مذاب سيانور يا ساير نمكها بدست مي آيد.

    Media Hardening

    حمام سخت كاري حمام محتوي مايعاتي است كه فولاد براي آبدادن در آنها فرو برده مي شود و مانند آب سرد و انواع ‍روغن و آب محتوي كلرورسديم يا هيدروكسيد سديم براي افزايش قدرت سردكنندگي آن است .

    Novmalizing

    نرمال سازي فرآيند عمليات حرارتي است كه هدف از آن ، از بين بردن تنش هاي دروني فولاد ، اصلاح ريز ساختار در فولاد و بهبود خواص مكانيكي آن است . فولاد براساس آناليز تا 800 الي 900 درجه سانتي گراد گرم مي شود و سپس در هوا سرد مي شود.

    Parkerising

    پاركريزه عملياتي شيميايي است كه بر روي محصولات فولادي براي بهبود مقاومت آنها در مقابل خوردگي استفاده مي شود. اين فرآيند در محلولي از فسفات منگنز انجام مي شود كه پوشش نسبتاً ضخيمي بر روي فولاد ايجاد مي كند . با اين كار فولاد مذكور در ادامه مي تواند رنگ يا روغن زده شود.

    Precipitation Hardening (PH)

    رسوب سختي يك گروه كوچك از فولادهاي زنگ نزن و انواع ديگر فولاد ها به دليل رسوب كاربونيتريه ها در زمينه سفتي و استحكام زيادي ايجاد مي كنند عمليات حرارتي موجب استحكام بسيار بالا و سختي آن مي گردد. كاربردهاي فولاد زنگ نزن پيرسختي (PH) شامل ساخت محور پمپ ها و شيرها و همچنين قطعات هواپيما كه بخواهيم به مرور زمان سفتي فولاد افزايش يابد.

    Pre-Heating

    پيش گرم كردن عمليات حرارتي اوليه قبل از نورد تختال در واحد نوردگرم است .


    Quenching

    سرد كردن سريع - سردكرد سريع در آب يا مايعات براي سخت كردن فولاد وحفظ ريزساختار در حرارت زياد است .


    Silicon Electrical Steel
    فولاد الكتريكي سيليسيمي - نوعي فولاد مخصوص است كه با وارد كردن سيليسيم در فرآيند فولادسازي ايجاد مي شود. فولاد الكتريكي خواص مغناطيسي خاصي را نشان مي دهد كه براي كاربردهايي همچون ترانسفورمرها ، ژنراتورهاي برق و موتورهاي الكتريكي بسيار مناسب است .



    Softening

    نرم سازي فولاد - اين عمل با بازپخت يا تاب كاري بر روي فولاد به منظور كاهش سختي براي تسهيل در عمليات ماشين كاري آن صورت مي گيرد.

    Softening Anneal

    بازپخت براي نرم سازي فولاد - كاهش سختي فولاد و به عبارتي تردي يا شكنندگي آن با گرم كردن تا نقطه دگرگوني و سپس سردكردن و خنك سازي آن به تدريج .

    Stainless Steel

    فولاد زنگ نزن - واژه اي براي گريدهاي فولادي است كه داراي درصد كرم است و عناصر چدن Ni و MO مي باشد . فولاد زنگ نزن در مقابل خوردگي مقاوم است و استحكام خود را در درجه حرارت هاي بالا حفظ مي كند. به همين دلايل ، فولاد زنگ نزن به ميزان قابل توجهي در صنعت اتومبيل سازي و محصولات توليد مواد غذايي ، و همچنين تجهيزات پزشكي و بهداشتي مورد استفاده قرار مي گيرند. بيشترين انواع معمول گريدها فولاد زنگ نزن عبارتند از : نوع 304 ، بيشترين نوع فولاد زنگ نزن استنيتي (گرو ه فولاد زنگ نزن با كرم و نيكل ) است كه بيش از نيمي از فولاد زنگ نزن توليد شده در جهان را تشكيل مي دهند. اين گريد فولاد زنگ نزن در مقابل خوردگي رايج در طراحي مقاومت مي كند و در زمينه هاي توليد مواد غذايي و به اغلب مواد شيميايي مقاوم است . نوع 316 ، از نوع فولاد زنگ نزن استنيتي است كه حاوي 2 تا 3 درصد موليبدون است كه آنرا در مقابل انواع مختلف پوسيدگي و خوردگي مقاومتر مي سازد. نوع 409 ، از نوع فولاد زنگ نزن فريتي است كه براي درجه حرارت هاي بالا مناسب است . اين گريد فولاد زنگ نزن كمترين كرم را در تمام فولادهاي زنگ نزن دارد و بنابراين گران نيست . نوع 410 ، بيشترين نوع فولاد زنگ نزن مارتنزيتي است و از ويژگي مقاومت بسيار بالا به دليل مارتنزيت است ، اين نوع فولاد داراي هزينه كم و عمليات حرارتي است و مناسب براي كاربردهاي با خوردگي نه چندان شديد. نوع 430 ، بيشترين نوع فولاد زنگ نزن فريتي است و داراي جنبه هاي مقاوم در برابر خوردگي به طور كلي است و اغلب براي كاربردهاي تزئيني مورد استفاده قرار مي گيرد.



    Strain Aging

    پيركرنش - نفوذ عناصر C , N بر روي تغييرات خواص مكانيكي فولاد نابجايي را با تغيير شكل مومسان ايجاد مي كنند.


    Subcritical Annealing

    تابكاري فرآيندي – بازپخت فرآيندي- گرم كردن و نگهداشتن در درجه حرارتي كمتر از دامنه دگرگوني و متعاقباً سردكردن با سرعتي مناسب تابكاري يا بازپخت فولاد است .

    Sub-Zero Treatment

    عمليات سرمايي- منجمدكردن فولاد خاص براي تسريع در دگرگوني استنيتي است .

    Surface Hrdening

    سخت گرداني سطحي - ايجاد سطحي سخت بر روي فولادها است كه با گرم و سردكردن موضعي سطح آنها .


    Surface Roughness

    زبري سطح - ناهمواريهايي هستند كه به صورت پستي و بلندي هاي ريز بر روي سطح فولاد به وجود مي آيند.

    Swealing

    گرماشويي ،‌ اكسيد شويي- حرارت دادن زياد شمش در فضاي اكسيدكننده و تبديل قشر يا لايه اي از آن به اكسيد مذاب و جريان پيدا كردن و شسته شدن آن از روي شمش است بطوري كه عيوب سطحي آن از بين برود.

    Tempering Bath

    حمام بازپخت - حمامي است براي بازپخت بعضي از فولادهاي نيكل و كرم دار كه سردكردن آنها بايد به آهستگي انجام گيرد.

    Vaccum Oxygen Decarburization (VOD)

    كربن زدايي اكسيژني در خلاء - فرآيندي است براي تصفيه بيشتر فولاد زنگ نزن كه از طريق كاهش ميزان كربن انجام مي شود. ميزان كربن در فولاد زنگ نزن بايستي كمتر از فولاد كربن دار يا كمتر از فولاد آلياژي باشد ( براي مثال فولاد با محتوي عنصر آلياژي كمتراز 5 درصد است). در حاليكه كوره هاي قوس الكتريكي (EAF) ابزارهاي متداول براي ذوب و تصفيه فولاد زنگ نزن هستند ، كربن زدايي اكسيژني در خلاء يك مكمل اقتصادي است بطوري كه مدت عمليات را كاهش مي دهد و درجه حرارت ها در فولادسازي به روش EAF كمتر هستند . علاوه بر اين ،‌ استفاده از كربن زدايي اكسيژن در خلاء براي تصفيه فولاد زنگ نزن استفاده بهتر از كوره هاي قوس الكتريكي را براي اهداف ذوب افزايش مي دهد. نحوه كار بدين صورت است كه فولاد مذاب و تصفيه نشده از كوره قوس الكتريكي به داخل ظرف جداگانه اي منتقل مي شود و سپس حرارت داده مي شود و پس از به هم زدن با يك جريان الكتريكي ، اكسيژن از بالاي ظرف مذكور وارد مي شود . مقادير قابل توجهي از گازهاي نامطلوب از فولاد دفع مي شوند و توسط يك پمپ خلاء كشيده مي شوند. آلياژها و ساير افزودنيها براي تصفيه بيشتر فولاد مذاب با آن مخلوط مي شوند.


    Vacuum Casting

    ريخته گري مكشي ،‌ ريخته گري در خلاء


    Vacuum Coating

    اندودكاري در خلاء- ملكولها هنگام تبخير در خلاء زياد ،‌ در يك مسير خطي به جسم برخورد مي كنند و روي آن نشسته و تشكيل اندود فلزي با ضخامت بسيار كم مي دهند.


    Vacuum Degassing

    گاززدايي در خلاء- دفع گازهاي محلول از قبيل اكسيژن ، هيدورژن و نيتروژن با يك وسيله پيشرفته تصفيه فولاد در فشارهاي پايين يا كمتر از فشار متعارف جوي ( در خلاء) است كه توليد فولاد فوق العاده كم كربن را ميسر مي سازد و مصرف آن در كاربردهاي اتومبيل سازي و صنايع الكتريكي است. معمولاً اين كار در پاتيل انجام مي شود و گازهاي محلول دفع مي شودو فولاد خالص تر با كيفيت عالي تر و تميزتر حاصل مي شود.


    Walterization

    والتريزاسيون- فرآيند پوشش جانشيني بر روي فولاد و روي است .


    Wash Heating

    گرماشويي – اكسيدشويي


    Water Hardening

    سخت گرداني با آب - فرآيندي است براي بالابردن سختي فولادهاي با آلياژ كم كه ابتدا فولاد را تا درجه حرارت دگرگوني يا بيش از آن گرم نموده و سپس با فروبردن در آب سريعاً‌ خنك مي كنند.

    Zincate Treatment

    عمليات روي كاري - ايجاد لايه اي از اكسيد روي بر روي جسم فولادي است .

  4. این کاربر از boomba بخاطر این مطلب مفید تشکر کرده است


  5. #134
    پروفشنال boomba's Avatar
    تاريخ عضويت
    May 2006
    پست ها
    782

    پيش فرض

    پراكنش الكترون كم انرژي(LEED)

    (LEED) تكنيك اصلي تعيين ساختار و سطح بشمار مي آيد و به يكي از دو طريق زير قابل كاربرد است.

    1- از نظر كيفيتي:
    زمانيكه الگوي پركنش قابل ثبت بوده و تجزيه و تحليل وضعيت نقاط, اطلاعاتي درباره اندازه, تقارن و محور چرخشي واحد سلولي جاذب با توجه به واحد سلولي زير لايه اي بدست مي دهد.
    2 – ازنظر مقداري:
    زمانيكه شدت انوار پراكنده متنوع بعنوان عملكرد انرژي پرتو الكتروني تابشي و ايجاد كننده منحنيهاي موسوم به I-V محسوب شده و درمقايسه با منحنيهاي تئوريك , اطلاعات دقيقتري در باره وضعيت اتمي ارائه مي دهد.
    در اين بخش ما فقط كاربرد كيفي اين تكنيك تجربي را مورد بررسي قرار مي دهيم.
    جزئيات آزمون
    آزمون LEED با استفاده از پرتو الكترونهاي كاملاً كم انرژي (نوعاً در حدeV 200 تا 20) كه بطور نرمال بر نمونه مي تابند اجرا مي شود.
    خود نمونه بايد يك تك كريستال با ساختار سطحي كاملاً مناسب جهت ايجاد الگوي پراكنشي پسرو باشد.
    نمودار اين آزمون در زير نشان داده شده است.
    فقط الكترونهايي با پراكندگي كششي تشكيل دهنده الگوي پراكنشي مي باشند.
    الكترونهاي كم انرژي (ثانوي) توسط شبكه هاي (-----) انرژي كه براي نمايش الگو در برابر صفحه فلورسنت بكار برده مي شود كنار گذاشته مي شوند.



    نظريه اصلي LEED
    بر اساس اصل تئوريك ذرات مـوج, پرتو الكترونها را مي توان توالي امـواج الكترون بـطور نرمال تابـنده بر نـمونه تلقي كرد. اين امـواج توسـط نواحي پر تراكم الكتروني(يا بعبارتي اتمهاي سـطح) پراكنده خواهـند شد.اين نواحي بـعنوان پراكنده گرهاي نقطه اي عمل مي نمايـند.طول مـوج الكترونها از رابطه ((de Broglie)) بدست مي آيد.



    مثالهاي فوق نشان مي دهد كه دامنه طول موج الكترونهاي بكار برده شده در آزمون LEED با فضاي اتمي قابل قياس است. فضايي كه از شروط لازم بروز اثرات پراكنش مربوط به ساختار اتمي مورد بررسي است.
    اولاً فرض كنيد زنجيره اي تك بعدي از اتمها(با فاصله اتمي a)و با تابش الكتروني با زاويه مستقيم بر اين زنجيره داريم, اين ساده ترين مدل ممكن براي پراكنش الكترونها توسط اتمهاي فوقاني ترين سطح جامد است.كه شكل زير نمايشگر جسم جامد متلاقي با پرتو الكتروني تابنده بطور نرمال از واكيوم فوق بر سطح آن است.




    اگر شما پراكنش پسرو يك جبهه موج از دو اتم مجاور را با زاويه كاملاً مشخص & بر سطح نرمال در نظر بگيريم در اينحال كاملاً مشخص است كه(اختلاف مسيري به اندازهd ) در مسيري كه تشعشع بايد از مراكز پراكنش تا يك بررسي گر دور (كه نهايتاً در بينهايت قرار مي گيرد) طي كند وجود دارد.
    بزرگي اين اختلاف مسير برابر sin & و مساوي با انتگرال طول موج تداخل مثبت ايجاد شده در هنگام تلاقي نهايي پرتوهاي پراكنده و برخورد آنها با بررسي گر است. شدت پراكندگي براي دو مركز جداگانه پراكنش بتدريج بين صفر(يعني تداخل كاملاً منفي) و مقدار ماکزيمم آن(يعني تداخل كاملاً مثبت) نوسان مي كند. اما شدت پراكنش با وجود پرتوهاي بزرگ دوره اي پراكنده گرها فقط در صورت ايجاد كامل(شرايط برگ) قابل ملاحظه خواهد بود.
    تقارن مركزي موجود در كليه الگوهاي پراكنش بازتاب تقارن ساختار سطح است و اندازه آن با جذر انرژي الكترون و بزرگي سلول واحد سطح نسبت معكوس دارد.
    بطور مثال ما مي توانيم از يك سطح fcc(110) به الگوي LEED بنگريم در شكل زير ساختار اتمي سطح در سمت چپ از نماي روبرو نمايش داده شده است بطوريكه شما آنرا از موقعيت يك تفنگ الكتروني در آزمون LEED نظاره مي كنيد(گرچه بسيار بزرگ نشان داده شده است)



    سپس پرتو الكتروني اوليه بطور نرمال بر اين سطح مي تابد درست مثل اينكه از محل فعلي مشاهده شما شليك گرديده است و جهت پراكنش متقابل پرتوهاي پراكنده از سطح سمت مشخص شما خواهد بود.
    الگوي پراكنش در سمت راست چگونگي اثر اين پرتوهاي پراكنده را بر صفحه فلورسنت نشان مي دهد.
    الگوي مزبور تقارن مستطيلي شكل همسان با سطح زيرين اما(متقابل) به سمت ساختار فضاي واقعي را نشان مي دهد كه ناشي از وابستگي متقابل با قطر امتداد نرمال اتمها است.
    الگوي مزبور همچنين حول پرتو(00) تقارن مركزي دارد. اين نقطه مركز الگوي پراكنش محسوب گرديده و معادل پرتوي است با پراكنش پسرو و دقيقاً نرمال نسبت به سطح مورد نظر(يعني حالت n=0 در مدل تك بعدي ما).



    شكل الگوي پراكنش بالا فقط پرتوهاي(اولين رده) را نشان مي دهد يا بعبارتي نشانگر الگوي پراكنش قابل مشاهده در مواقع كم انرژي است و فقط در حالت n=1 كه زاويه پراكنش & بقدر كافي براي پرتوي پراكنده قابل تابش بر صفحه نمايش كوچك مي باشد مصداق دارد. بر عكس شكل زير الگوي پراكنشي را نشان مي دهد كه ممكن است در صورت دو برابر شدن انرژي تابشي الكترونها پيش بيايد يعني زمانيكه بعضي از نقاط رده دوم در اين حالـت قابل رويت بوده و كل الگوي مورد نظر بسـمت نقطه (00) ميل مي كند.



    اين شكل واقعي يكي از الگوهاي پراكنش است. در صورت وقوع چنين الگوهاي ساده اي از LEED مي توان الگوي پراكنش را بر حسب ميزان پراكندگي رديف اتمهاي سطح توصيف نمود. مثلاً رديفهاي(اتمهاي) در حال نوسان عمودي بر روي صفحه باعث ايجاد يك سري پرتوهاي پراكنده در صفحه افقي و عمودي بر امتداد رديفها شده و به اين شكل به سمت رديف نقاطي كه در امتداد افقي الگوي پراكنش از نقطه(00) عبور مي نمايند(ميل مي كند). با افزايش فاصله رديفها از يكديگر پرتوهاي پراكنده به پرتو مركزي(00) نزديكتر مي شوند. اما اين شيوه,روش چندان مناسبي براي توصيف الگوهاي LEED از سطوح مختلف نيست.
    يك روش بهتر براي مشاهده الگوهاي پراكنش LEED استفاده از مفهوم فضاي متقابل است.به عبارت ديگر مي توان بسادگي نتيجه گيري نمود كه: الگوي LEED مورد مشاهده , نمايي مدرج شده از شبكه متقابل ساختار دو بعدي است. شبكه متقابل توسط بردارهاي متقابل تعريف مي شود.
    ابتدا ما فقط واحد زير لايه اي را در نظر مي گيريم. بردارهاي متقابل از طريق روابط بازده مدرج با بردارهاي واحد سلولي فضاي واقعي در رابطه اند. براي كسانيكه چندان مهارتي در جبر بردارها ندارند استدلال زير ارائه مي شود.
    توجه كنيد: اگر A=0 درجه باشد (cosA=1) در اينحالت مساًله به رابطه متقابل ساده اي بين طول دو بردار a1 و a2 بدل مي شود. دقيقاً همين رابطه آنالوگي در مورد فضا و بردارهاي جاذب ساختار لايه فوقاني صدق مي كند.
    بطور تقريب الگوي LEED براي ساختار سطح معين را مي توان با قرار دادن شبكه متقابل جاذب لايه فوقاني(كه توسط b1 و b2 ايجاد مي شود) بر روي شبكه متقابل زير لايه اي ايجاد كرد.

    مثال 1 : اكنون به يك مثال توجه كنيد. شكل زير يك سطح fcc(100) را(از نماي روبرو) و با الگوي پراكنش خاص آن(يعني شبكه متقابل) نشان مي دهد.



    ما مي توانيم چگونگي تعيين اين بردارهاي متقابل را با حل مساًله به روش موازي براي دو بردار مربوطه نشان دهيم.
    اكنون يك لايه فوقاني جاذب را اضافه مي كنيم يعني يك ساختار ساده (2 * 2) با ذرات جاذب كه در محلهاي استقرار فوقاني نشان داده مي شود و همان روش منطقي مورد بالا را براي تعيين بردارهاي متقابل b1 و b2 اين لايه فوقاني بكار مي بريم.
    تنها كاري كه اينك بايد انجام دهيم عبارت است از ايجاد شبكه متقابل براي واحد جاذب با استفاده از b1 و b2 (كه با رنگ قرمز نشان داده شده است) همين و بس


    مثال 2 : در متال دوم ما به ساختار (2*2)c بر روي همان سطح fcc(100) نگاهي مي اندازيم , شكل زير هر دو ساختار فضاي واقعي (2*2)c و الگوي پراكنش مربوط به آن را نشان مي دهد.


    از بسياري جهات روش تجزيه تحليل بسيار شبيه ساختار p(2*2) است بجز موارد زير:
    1. | b1 | = | b2 | = Ö2 units ; consequently | b1*| = | b2*| = 1/ Ö2 units.
    2- بردارهاي جاذب لايه فوقاني ضمن حفظ هماهنگي با بردارهاي زير لايه اي در حال چرخش 45 درجه اي هستند.
    توجه داشته باشيد كه الگوي پراكنش c(2*2) را مي توان از الگوي ساختار ساده با حذف همه نقاط پراكنش مربوطه به لايه جاذب بدست آورد. اين طرحي كلي براي الگوهاي پراكنش ناشي از ساختار هايي با تقارن مركزي است.

    پراكنش الكترون پرانرژي(RHEED)

    بازتاب پراكنش پرتو الكترون پرانرژي(RHEED)

    پراكنش الكترون كم انرژي(LEED) از حساسيت ذاتي سطح الكترونهي كم انرژي براي نمونه گيري از ساختار سطحي بهره مي گيرد. همچنانكه انرژي اوليه الكترون افزايش مي يابد نه تنها وضوح سطح كاهش مي يابد بلكه دو اثر قابل توجه ديگر نيز دارد.

    1- پراكنش پيشرو اهمييت بيشتري مي يابد(نقطه مقابل پراكنش پسرو معمول در LEED)
    2- زاويه پراكنش (كه با جهت تابش پرتو اندازه گيري مي شود)در پراكنش پسرو به سمت 180 درجه و در پراكنش پيشرو به صفر درجه ميل مي كند. بنابراين بدست آوردن اطلاعات ساختار سطح مورد نظر از طريق شكست انوار الكترونهاي پر انرژي با كار برد تكنيكي ويژه كه آسانترين راه آن نيز استفاده از هندسه بازتاب كه در آن انوار الكترون با زاويه خفيفي تابانده مي شود ميسر مي گردد.نام اين تكنيك بازتاب پراكنش پرتو الكترون پر انرژي(RHEED) است.




    شكل بالا اصول اوليه آزمون(RHEED) را نشان مي دهد كه نمونه مورد نظر در آن بخوبي قابل مشاهده است. در عمل صفحه نمايش معمولاً با لايه اي از فسفر در درون يك محفظه واكيوم(نماگاه) قرار دارد و الگوي پراكنش از سمت اتمسفريك اين محفظه قابل رويت و بررسي است.زواياي كوچك پراكنش ايجاد شده را مي توان با استفاده از فواصل بزرگتر بين نمونه و صفحه از ميان برد. نمونه را مي توان حول محور نرمال آن چنان دوران داد كه پرتو الكترون در جهت دقيق كريستالو گرافيك بر سطح مورد نظر بتابد. براي درك فرآيند پراكنش در اين تجربه هندسي ما بايد نحوه تعامل انوار الكترون با پرتو معمولي اتمهاي سطح را مورد ملاحظه قرار دهيم. گرچه بايد توجه كرد عليرغم انرژي بالاي الكترونها,كاربرد تلاقي انوار,اجزاي شتاب الكتروني عمود بر سطح را كاهش مي دهد.
    در اين شرايط يك الكترون ممكن است مسافت زيادي در درون جسم جامد بپيمايد(در رابطه با مسير طويل و نسبتاً فراخي كه در مقابل چنين الكترونهاي پر انرژي وجود دارد) بدون اينكه مقدار زيادي در درون آن نفوذ نمايد.اين تكنيك تبعاً نسبت به سطح, حساس باقي مي ماند.
    اكنون در شكل پايين نماي روبرو از سطحي را ملاحظه مي كنيد كه ما توجه خود را بر يك رديف از اتمها(كه با آبي كم رنگ مشخص شده است)كه در جهت عمود بر تابش پرتو الكترون(تابش از سمت چپ) در حركتند متمركز مي نماييم.



    علاوه بر تغيير شتاب الكترونهاي عمود بر سطح كه منجر به ايجاد بازتاب مورد نظر مي گردد فرآيند پراكنش نيز مي تواند منجر به تغيير شتاب موازي با سطح گردد كه به نوبه خود موجب انحرافي به ميزان زاويه& مي شود كه از نماي روبرو قابل رويت مي باشد. در صورت تساوي اختلاف مسير بين پرتو پراكنده جانبي(يعني سينوس&) و انتگرال طول موج, تداخل مناسب بوجود مي آيد(يعني همان شرط اساسي بر قراري RHEED)اين امر منشاء ايجاد يك دسته پرتو پراكنده با زواياي تابش مختلف در هر دو طرف انوار بازتابي از سطح صيقلي مي گردد.



    آيا RHEED هيچگونه مزيتي بر LEED دارد ؟

    بر حسب كيفيت الگوي پراكنش مطلقاً خير.
    علاوه بر اين با توجه به انوار تابشي و بمظور تعيين سلول واحد سطح, الگوهاي پراكنش بايد حداقل با دو نمونه مورد بررسي قرار گيرند. اما:
    1- هـندسه اين آزمـون در مـطالعه الگوي پراكـنش دسـترسي بـيشتر بـه نـمونه مـورد نـظر را ميـسر مي سازد.اين امر بويژه براي مطالعه ساختار سطح مورد نظر در حين رشد لايه اي از سطح مزبور از طريق تبخير منابعي كه در فاصله اي نرمال از سطح نمونه قرار داشته يا بطور همزمان با ساير اندازه گيريها داراي اهمييت است.
    2- تجربه نشان داده است كه سنجش سطح اتمي از طريق رشد سطح لايه هاي نازك سطوح فوقاني از طريق سنجش نوسانات شدت انوار پراكنده در الگوي RHEED قابل پيگيري است. بنابراين با كاربرد RHEED سنجش و تبعاً كنترل نرخ رشد لايه اتمي در رشد(لايه فوقاني انوار ملكولي) ساختارهاي ابزار الكترونيكي مـيسر مي شـود. كه اين خود مـهمترين كاربرد اين تكنيك تلقي مي شود.
    Last edited by boomba; 12-04-2009 at 14:38.

  6. #135
    حـــــرفـه ای karin's Avatar
    تاريخ عضويت
    Dec 2006
    محل سكونت
    Far away from here
    پست ها
    3,028

    11 فهرست مطالب

    1. [ برای مشاهده لینک ، با نام کاربری خود وارد شوید یا ثبت نام کنید ]
    2. [ برای مشاهده لینک ، با نام کاربری خود وارد شوید یا ثبت نام کنید ]
    3. [ برای مشاهده لینک ، با نام کاربری خود وارد شوید یا ثبت نام کنید ]
    4. [ برای مشاهده لینک ، با نام کاربری خود وارد شوید یا ثبت نام کنید ]
    5. [ برای مشاهده لینک ، با نام کاربری خود وارد شوید یا ثبت نام کنید ]
    6. [ برای مشاهده لینک ، با نام کاربری خود وارد شوید یا ثبت نام کنید ]
    7. [ برای مشاهده لینک ، با نام کاربری خود وارد شوید یا ثبت نام کنید ]
    8. [ برای مشاهده لینک ، با نام کاربری خود وارد شوید یا ثبت نام کنید ]
    9. [ برای مشاهده لینک ، با نام کاربری خود وارد شوید یا ثبت نام کنید ]
    10. [ برای مشاهده لینک ، با نام کاربری خود وارد شوید یا ثبت نام کنید ]
    11. [ برای مشاهده لینک ، با نام کاربری خود وارد شوید یا ثبت نام کنید ]
    12. [ برای مشاهده لینک ، با نام کاربری خود وارد شوید یا ثبت نام کنید ]
    13. [ برای مشاهده لینک ، با نام کاربری خود وارد شوید یا ثبت نام کنید ]
    14. [ برای مشاهده لینک ، با نام کاربری خود وارد شوید یا ثبت نام کنید ]
    15. [ برای مشاهده لینک ، با نام کاربری خود وارد شوید یا ثبت نام کنید ]
    16. [ برای مشاهده لینک ، با نام کاربری خود وارد شوید یا ثبت نام کنید ]
    17. [ برای مشاهده لینک ، با نام کاربری خود وارد شوید یا ثبت نام کنید ]
    18. [ برای مشاهده لینک ، با نام کاربری خود وارد شوید یا ثبت نام کنید ]
    19. [ برای مشاهده لینک ، با نام کاربری خود وارد شوید یا ثبت نام کنید ]
    20. [ برای مشاهده لینک ، با نام کاربری خود وارد شوید یا ثبت نام کنید ]
    21. [ برای مشاهده لینک ، با نام کاربری خود وارد شوید یا ثبت نام کنید ]
    22. [ برای مشاهده لینک ، با نام کاربری خود وارد شوید یا ثبت نام کنید ]
    23. [ برای مشاهده لینک ، با نام کاربری خود وارد شوید یا ثبت نام کنید ]
    24. [ برای مشاهده لینک ، با نام کاربری خود وارد شوید یا ثبت نام کنید ]
    25. [ برای مشاهده لینک ، با نام کاربری خود وارد شوید یا ثبت نام کنید ]
    26. [ برای مشاهده لینک ، با نام کاربری خود وارد شوید یا ثبت نام کنید ]
    27. [ برای مشاهده لینک ، با نام کاربری خود وارد شوید یا ثبت نام کنید ]
    28. [ برای مشاهده لینک ، با نام کاربری خود وارد شوید یا ثبت نام کنید ]
    29. [ برای مشاهده لینک ، با نام کاربری خود وارد شوید یا ثبت نام کنید ]
    30. [ برای مشاهده لینک ، با نام کاربری خود وارد شوید یا ثبت نام کنید ]
    31. [ برای مشاهده لینک ، با نام کاربری خود وارد شوید یا ثبت نام کنید ]
    32. [ برای مشاهده لینک ، با نام کاربری خود وارد شوید یا ثبت نام کنید ]
    33. [ برای مشاهده لینک ، با نام کاربری خود وارد شوید یا ثبت نام کنید ]
    34. [ برای مشاهده لینک ، با نام کاربری خود وارد شوید یا ثبت نام کنید ]
    35. [ برای مشاهده لینک ، با نام کاربری خود وارد شوید یا ثبت نام کنید ]
    36. [ برای مشاهده لینک ، با نام کاربری خود وارد شوید یا ثبت نام کنید ]
    37. [ برای مشاهده لینک ، با نام کاربری خود وارد شوید یا ثبت نام کنید ]
    38. [ برای مشاهده لینک ، با نام کاربری خود وارد شوید یا ثبت نام کنید ]
    39. [ برای مشاهده لینک ، با نام کاربری خود وارد شوید یا ثبت نام کنید ]
    40. [ برای مشاهده لینک ، با نام کاربری خود وارد شوید یا ثبت نام کنید ]
    41. [ برای مشاهده لینک ، با نام کاربری خود وارد شوید یا ثبت نام کنید ]
    42. [ برای مشاهده لینک ، با نام کاربری خود وارد شوید یا ثبت نام کنید ]
    43. [ برای مشاهده لینک ، با نام کاربری خود وارد شوید یا ثبت نام کنید ]
    44. [ برای مشاهده لینک ، با نام کاربری خود وارد شوید یا ثبت نام کنید ]
    45. [ برای مشاهده لینک ، با نام کاربری خود وارد شوید یا ثبت نام کنید ]
    46. [ برای مشاهده لینک ، با نام کاربری خود وارد شوید یا ثبت نام کنید ]
    47. [ برای مشاهده لینک ، با نام کاربری خود وارد شوید یا ثبت نام کنید ]
    48. [ برای مشاهده لینک ، با نام کاربری خود وارد شوید یا ثبت نام کنید ]
    49. [ برای مشاهده لینک ، با نام کاربری خود وارد شوید یا ثبت نام کنید ]
    50. [ برای مشاهده لینک ، با نام کاربری خود وارد شوید یا ثبت نام کنید ]
    51. [ برای مشاهده لینک ، با نام کاربری خود وارد شوید یا ثبت نام کنید ]
    52. [ برای مشاهده لینک ، با نام کاربری خود وارد شوید یا ثبت نام کنید ]
    53. [ برای مشاهده لینک ، با نام کاربری خود وارد شوید یا ثبت نام کنید ]
    54. [ برای مشاهده لینک ، با نام کاربری خود وارد شوید یا ثبت نام کنید ]
    55. [ برای مشاهده لینک ، با نام کاربری خود وارد شوید یا ثبت نام کنید ]
    56. [ برای مشاهده لینک ، با نام کاربری خود وارد شوید یا ثبت نام کنید ]
    57. [ برای مشاهده لینک ، با نام کاربری خود وارد شوید یا ثبت نام کنید ]
    58. [ برای مشاهده لینک ، با نام کاربری خود وارد شوید یا ثبت نام کنید ]
    59. [ برای مشاهده لینک ، با نام کاربری خود وارد شوید یا ثبت نام کنید ]
    60. [ برای مشاهده لینک ، با نام کاربری خود وارد شوید یا ثبت نام کنید ]
    61. [ برای مشاهده لینک ، با نام کاربری خود وارد شوید یا ثبت نام کنید ]
    62. [ برای مشاهده لینک ، با نام کاربری خود وارد شوید یا ثبت نام کنید ]
    63. [ برای مشاهده لینک ، با نام کاربری خود وارد شوید یا ثبت نام کنید ]
    64. [ برای مشاهده لینک ، با نام کاربری خود وارد شوید یا ثبت نام کنید ]
    65. [ برای مشاهده لینک ، با نام کاربری خود وارد شوید یا ثبت نام کنید ]
    66. [ برای مشاهده لینک ، با نام کاربری خود وارد شوید یا ثبت نام کنید ]
    67. [ برای مشاهده لینک ، با نام کاربری خود وارد شوید یا ثبت نام کنید ]
    68. [ برای مشاهده لینک ، با نام کاربری خود وارد شوید یا ثبت نام کنید ]
    69. [ برای مشاهده لینک ، با نام کاربری خود وارد شوید یا ثبت نام کنید ]
    70. [ برای مشاهده لینک ، با نام کاربری خود وارد شوید یا ثبت نام کنید ]
    71. [ برای مشاهده لینک ، با نام کاربری خود وارد شوید یا ثبت نام کنید ]
    72. [ برای مشاهده لینک ، با نام کاربری خود وارد شوید یا ثبت نام کنید ]
    73. [ برای مشاهده لینک ، با نام کاربری خود وارد شوید یا ثبت نام کنید ]
    74. [ برای مشاهده لینک ، با نام کاربری خود وارد شوید یا ثبت نام کنید ]
    75. [ برای مشاهده لینک ، با نام کاربری خود وارد شوید یا ثبت نام کنید ]
    76. [ برای مشاهده لینک ، با نام کاربری خود وارد شوید یا ثبت نام کنید ]
    77. [ برای مشاهده لینک ، با نام کاربری خود وارد شوید یا ثبت نام کنید ]
    78. [ برای مشاهده لینک ، با نام کاربری خود وارد شوید یا ثبت نام کنید ]
    79. [ برای مشاهده لینک ، با نام کاربری خود وارد شوید یا ثبت نام کنید ]
    80. [ برای مشاهده لینک ، با نام کاربری خود وارد شوید یا ثبت نام کنید ]
    81. [ برای مشاهده لینک ، با نام کاربری خود وارد شوید یا ثبت نام کنید ]
    82. [ برای مشاهده لینک ، با نام کاربری خود وارد شوید یا ثبت نام کنید ]
    83. [ برای مشاهده لینک ، با نام کاربری خود وارد شوید یا ثبت نام کنید ]
    84. [ برای مشاهده لینک ، با نام کاربری خود وارد شوید یا ثبت نام کنید ]
    85. [ برای مشاهده لینک ، با نام کاربری خود وارد شوید یا ثبت نام کنید ]
    86. [ برای مشاهده لینک ، با نام کاربری خود وارد شوید یا ثبت نام کنید ]
    87. [ برای مشاهده لینک ، با نام کاربری خود وارد شوید یا ثبت نام کنید ]
    88. [ برای مشاهده لینک ، با نام کاربری خود وارد شوید یا ثبت نام کنید ]
    89. [ برای مشاهده لینک ، با نام کاربری خود وارد شوید یا ثبت نام کنید ]
    90. [ برای مشاهده لینک ، با نام کاربری خود وارد شوید یا ثبت نام کنید ]
    91. [ برای مشاهده لینک ، با نام کاربری خود وارد شوید یا ثبت نام کنید ]
    92. [ برای مشاهده لینک ، با نام کاربری خود وارد شوید یا ثبت نام کنید ]
    93. [ برای مشاهده لینک ، با نام کاربری خود وارد شوید یا ثبت نام کنید ]
    94. [ برای مشاهده لینک ، با نام کاربری خود وارد شوید یا ثبت نام کنید ]
    95. [ برای مشاهده لینک ، با نام کاربری خود وارد شوید یا ثبت نام کنید ]
    96. [ برای مشاهده لینک ، با نام کاربری خود وارد شوید یا ثبت نام کنید ]
    97. [ برای مشاهده لینک ، با نام کاربری خود وارد شوید یا ثبت نام کنید ]
    98. [ برای مشاهده لینک ، با نام کاربری خود وارد شوید یا ثبت نام کنید ]
    99. [ برای مشاهده لینک ، با نام کاربری خود وارد شوید یا ثبت نام کنید ]
    100. [ برای مشاهده لینک ، با نام کاربری خود وارد شوید یا ثبت نام کنید ]
    101. [ برای مشاهده لینک ، با نام کاربری خود وارد شوید یا ثبت نام کنید ]
    102. [ برای مشاهده لینک ، با نام کاربری خود وارد شوید یا ثبت نام کنید ]
    103. [ برای مشاهده لینک ، با نام کاربری خود وارد شوید یا ثبت نام کنید ]
    104. [ برای مشاهده لینک ، با نام کاربری خود وارد شوید یا ثبت نام کنید ]
    105. [ برای مشاهده لینک ، با نام کاربری خود وارد شوید یا ثبت نام کنید ]
    106. [ برای مشاهده لینک ، با نام کاربری خود وارد شوید یا ثبت نام کنید ]
    107. [ برای مشاهده لینک ، با نام کاربری خود وارد شوید یا ثبت نام کنید ]
    108. [ برای مشاهده لینک ، با نام کاربری خود وارد شوید یا ثبت نام کنید ]
    109. [ برای مشاهده لینک ، با نام کاربری خود وارد شوید یا ثبت نام کنید ]
    110. [ برای مشاهده لینک ، با نام کاربری خود وارد شوید یا ثبت نام کنید ]
    111. [ برای مشاهده لینک ، با نام کاربری خود وارد شوید یا ثبت نام کنید ]
    112. [ برای مشاهده لینک ، با نام کاربری خود وارد شوید یا ثبت نام کنید ]
    113. [ برای مشاهده لینک ، با نام کاربری خود وارد شوید یا ثبت نام کنید ]
    114. [ برای مشاهده لینک ، با نام کاربری خود وارد شوید یا ثبت نام کنید ]
    115. [ برای مشاهده لینک ، با نام کاربری خود وارد شوید یا ثبت نام کنید ]
    116. [ برای مشاهده لینک ، با نام کاربری خود وارد شوید یا ثبت نام کنید ]
    117. [ برای مشاهده لینک ، با نام کاربری خود وارد شوید یا ثبت نام کنید ]
    118. [ برای مشاهده لینک ، با نام کاربری خود وارد شوید یا ثبت نام کنید ]
    119. [ برای مشاهده لینک ، با نام کاربری خود وارد شوید یا ثبت نام کنید ]
    120. [ برای مشاهده لینک ، با نام کاربری خود وارد شوید یا ثبت نام کنید ]
    121. [ برای مشاهده لینک ، با نام کاربری خود وارد شوید یا ثبت نام کنید ]
    122. [ برای مشاهده لینک ، با نام کاربری خود وارد شوید یا ثبت نام کنید ]
    123. [ برای مشاهده لینک ، با نام کاربری خود وارد شوید یا ثبت نام کنید ]
    124. [ برای مشاهده لینک ، با نام کاربری خود وارد شوید یا ثبت نام کنید ]
    125. [ برای مشاهده لینک ، با نام کاربری خود وارد شوید یا ثبت نام کنید ]

  7. 2 کاربر از karin بخاطر این مطلب مفید تشکر کرده اند


  8. #136
    پروفشنال boomba's Avatar
    تاريخ عضويت
    May 2006
    پست ها
    782

    پيش فرض

    سرباره صنايع آهن و فولاد، زباله يا ماده‌اي ارزشمند؟

    در فرآيند توليد آهن و فولاد، علاوه بر محصول اصلي، محصولات ديگري نيز توليد مي‌گردند كه محصولات جانبي (By-Product) ناميده مي‌شوند. در صورت عدم توانايي صنايع فولادي در بازيابي و استفاده از اين محصولات، با توجه به حجم توليد بالاي آنها، اين محصولات مشكلات زيادي را از نظر آلايندگي ايجاد مي‌نمايند. از جمله محصولات فرعي توليد آهن و فولاد، سرباره، گردوغبار، لجن، ورق‌هاي پوسته شده و لايه‌هاي اكسيدي هستند كه در ميان اين محصولات، سرباره به سبب ميزان توليد بسيار بيشتر در مقايسه با ساير محصولات جانبي، توجه بيشتري را مي‌طلبد:

    تاريخچه
    حدود 350 سال پيش از ميلاد مسيح(ع) ارسطو ف يزيكدان يوناني ، از سربارة آهن‌سازي براي التيام جراحت‌ها استفاده نمود. در روم باستان نيز از دانه‌هاي سرباره براي راه‌سازي استفاده مي‌كردند. از آن دوران به بعد كاربردهاي ديگري نظير ساخت موزاييك‌ها و گلوله‌هاي توپ هم براي سرباره ابداع گرديد. با وجود اين، با شروع قرن بيستم و توسعه فرايندهاي نوين آهن و فولادسازي بود كه استفاده تجاري از سرباره در ابعاد زياد ، مرسوم شد. امروزه با كمك فرايندهاي پيشرفته، از سرباره در بيشتر صنايع سازه ‌اي چون راه‌سازي، سيمان، بلوك‌هاي ساختماني سبك، سلختمان‌سازي، بتون‌هاي ساختماني، بتون‌هاي آسفالتي، ماسه‌هاي خطوط راه‌آهن، پشم سنگ و صنايع شيشه استفاده مي‌شود.


    تركيب سرباره
    در صنايع آهن و فولادسازي، دو نوع سرباره وجود دارد: 1) سرباره كورة بلند (سربارة آهن خام) و 2) سرباره فولادسازي. برطبق تعريف جامعه آزمون و مواد آمريكا (American Society of Testing & Materials) ، سربارة كورة بلند، تركيبي است غيرفلزي كه داراي سيليكات‌ها و آلومينوسيليكات‌هاي كلسيم و ساير عناصر بازي است و در كورة بلند به همراه آهن به صورت مذاب توليد مي‌شود. همچنين طبق تعريف اين جا م عه، سربارة فولاد سازي، تركيبي غيرفلزي است كه داراي سيليكات‌هاي كلسيم، فر يت‌هاي كلسيم و اكسيدهاي آهن ، آلومينيوم، منگنز، كلسيم و منيزيم است و به طور همزمان با فولاد توليد مي‌شود.

    با توجه به اين تعريف‌ها مشخص مي‌شود كه اين دو دسته سرباره، از لحاظ تركيب شيميايي اختلاف زيادي باهم دارند؛ سربارة كوره بلند بر خلاف سربارة فولادسازي كه تركيبات متنوعي دارد ، تركيبي نسب تا ً يكنواخت دارد. اين يكنواختي تركيب سربارة كوره بلند، سبب ساده‌تر شدن كاربرد آن شده است. در مقابل، وجود تركيباتي نظير اكسيد آهن در سربارة فولادسازي، استفاده گسترده از اين محصول را به‌ويژه در صنايع سيمان محدود كرده است.

    ويژگي‌هاي فيزيكي هر يك از اين دو دسته ثابت نيست و خصوصياتي نظير وزن، انداز ة دانه، ويژگي‌هاي ساختاري و غيره، بر حسب نوع فرآيند توليد سرباره ، تغيير مي‌كند.


    حجم توليد سرباره
    داده‌هاي آماري در ارتباط با مقدار واقعي توليد سربارة آهن و فولاد در جهان، در دسترس نيست؛ اما ميزان توليد اين ماده را مي‌توان حدود 25 تا 30 درصد توليد آهن خام (Pig Iron) و 10 تا 15 درصد توليد فولاد خام تخمين زد. با استفاده از اين تخمين مي‌توان آماري براي توليد سرباره در جهان، ايالات متحده و ايران ارايه نمود.

    ميزان مصرف سرباره
    از نظر صاحبان صنايع آهن و فولاد در جهان، سرباره و ساير محصولات جانبي نه تنها زباله نيستند، بلكه به اين محصولات به عنوان موادي باارزش نگاه مي‌شود. در اين صنايع سعي بر اين است كه علاوه بر بازيابي فلزات از اين محصولات جانبي، براي اين محصولات به ويژه سرباره، كاربردهايي يافته تا از اين مواد، به‌صورت مؤثر استفاده شود. اين موضوع سبب شده است كه سربارة توليدشده در صنايع آهن و فولاد، خود بازار قابل توجهي داشته باشد.

    در سال 2002، در كشور آمريكا حدود 19ميليون تن سرباره به ارزش 300ميليون دلار مصرف شده است كه حدود 60 درصد آن، سربارة كوره بلند به ارزش 270ميليون دلار بوده است. (دليل مصرف بيشتر و نيز ارزش بيشتر سرباره كوره بلند در مقايسه با سرباره فولادسازي، همان نكاتي است كه پيش‌تر ذكر شد).

    در حال حاضر، فعاليت صنايع آهن و فولاد در بخش محصولات جانبي، بر افزايش بازيافت مواد از اين محصولات و يافتن بازارها و موارد مصرف براي آنها متمركز شده است. نمودار زير نتايج فعاليت شركت ژاپني سوميتومو (Sumitomo) را براي كاهش محصولات جانبي باقيمانده و مصرف‌نشده از سال 1990 تا سال 2002 نشان مي‌دهد:


    شكل 1- محصولات جانبي مصرف نشده شركت سوميتومو (هزار تن)

    كاربردهاي مرسوم سرباره
    اگر سربارة توليدشده در كوره بلند را به حال خود گذاريم تا سرد شود و يا آن را با استفاده از آب، سريع سرد كنيم، از آن مي‌توان در بتون‌سازي، ساخت جاده‌ها، خاك‌ريزها، آبراهه‌ها، توليد پشم سنگ، ساخت شيشه، ساخت بلوك‌هاي ساختماني سبك و مواد اوليه سيمان سرباره‌اي، استفاده كرد.

    اگر سرعت سردكردن سرباره مذاب بيشتر شود، سرباره به حالت شيشه‌اي درمي‌آيد. از اين محصول مي‌توان در ساخت سيمان، پركننده‌هاي سبك و مواد اوليه شيشه‌سازي استفاده كرد.

    سرباره توليدشده در فولادسازي نيز كاربردهاي متنوعي دارد. از اين سرباره در ساخت روكش مقاوم و پايا براي جاده‌ها، توليد آسفالت‌هاي مقاوم در برابر لغزش و زيرسازي و شانه‌سازي جاده‌ها، استفاده مي‌شود. علاوه بر اين ، سربارة فولادسازي در ساخت سيمان پرتلند نيز استفاده مي‌شود. استفاده از اين ماده در سيمان پرتلند، سبب افزايش ظرفيت ، كاهش آلودگي محيط‌زيست و صرفه‌جويي در مصرف انرژي مي‌گردد.

    كاربردهاي كشاورزي و كمك به بهبود زيست‌محيطي سواحل نيز از كاربردهاي ديگر سرباره‌هاي آهن و فولاد است. سربارة فولادسازي به سبب داشتن تركيباتي مفيد براي كشاورزي از جمله اكسيد موليبدن، مي‌تواند سبب بهبود خاك‌هاي زراعي و به تبع آن افزايش توليد محصولات كشاورزي شود. به عنوان مثال، از ديرباز از اين سرباره در كشت ذرت و سويا استفاده مي‌شده است. علاوه بر اين ، استفاده از سربارة فولادسازي در كشت نيشكر و برنج نيز نتايج مطلوبي درپي داشته است . هم اكنون براي گسترش استفاده از سربارة فولادسازي در كشاورزي، تحقيقات مختلفي در حال انجام است.

    اخيراً، در ژاپن براي بازسازي و ترميم وضعيت سواحل و تقويت رشد گياهان، ماهي‌ها و ساير موجودات دريايي، از سرباره‌هاي آهن و فولادسازي استفاده شده است. براي اين منظور، با استفاده از ذرات و بلوك‌هايي از جنس سرباره، بسترهايي دركف دريا ساخته مي‌شود كه به محلي براي رويش گياهان و زندگي جانوران دريايي تبديل مي‌گردند.

    مآخذ
    Tatsuhito Takahashi and Kazuya Yabuta, New Applications for Iron and Steel making Slag, NKK Technical ReviewNo.87 (2002), 38-44
    Iron and Steel Slag , U.S. Geological Survey, Mineral Commodity Summaries, January 2004
    Blast Furnace Slag, National Slag Association ( U.S. )
    Steel Slag, National Slag Association ( U.S. )
    • New Uses for Steel Mill Slag A Valuable Resource fromWaste, Available from
    کد:
    برای مشاهده محتوا ، لطفا وارد شوید یا ثبت نام کنید
    of a Zero-Waste Society

    • Environmental Report, Available From
    کد:
    برای مشاهده محتوا ، لطفا وارد شوید یا ثبت نام کنید
    Last edited by boomba; 20-04-2009 at 14:12.

  9. #137
    پروفشنال MicrosoftH's Avatar
    تاريخ عضويت
    Apr 2008
    محل سكونت
    Persia
    پست ها
    810

    پيش فرض

    با سلام به دوستان عزیزم لطفا هر کسی در این مورد میتونه به من کمک کنه

    یک دستگاهی که از لوله های نانو باشه و اثر مغناطیسی داشته باشه وجود داره یا نه اگه اره تو ایران هست یا نه
    یا
    میخوام ببینم دستگاهی هست که با لوله های با مرکز ی با هسته ها ی اتمی کربن کار کنه و اثر مغناطیسی داشته باشه هست
    اگه هست چیه اسمش .تو ایران هست یا نه

  10. #138
    پروفشنال boomba's Avatar
    تاريخ عضويت
    May 2006
    پست ها
    782

    پيش فرض

    با سلام به دوستان عزیزم لطفا هر کسی در این مورد میتونه به من کمک کنه

    یک دستگاهی که از لوله های نانو باشه و اثر مغناطیسی داشته باشه وجود داره یا نه اگه اره تو ایران هست یا نه
    یا
    میخوام ببینم دستگاهی هست که با لوله های با مرکز ی با هسته ها ی اتمی کربن کار کنه و اثر مغناطیسی داشته باشه هست
    اگه هست چیه اسمش .تو ایران هست یا نه
    سلام دوست عزیز در مورد دستگاه شما من تا حالا چیزی نشنیدم اما چون مطلب در مورد نانو است این سایت را به پیشنهاد می کنم

    باشگاه نانو
    کد:
    برای مشاهده محتوا ، لطفا وارد شوید یا ثبت نام کنید

  11. #139
    پروفشنال boomba's Avatar
    تاريخ عضويت
    May 2006
    پست ها
    782

    پيش فرض

    مقدمه ای درباره بررسي ارتباط ميان نوع پولك گرافيت واندازه نسبت گرافيت سطح – به- نسبت حجم



    مترجم:ساسان تمیزی فر بازبینی و ویرایش:رسول صالحی ، زیر نظر:دکتر عابدی

    چكیده:
    چدن خاكستريي (4.0 = CE) در بلوكهايي به سايز 2/1 ، 1 و 2 in (1.27 ، 2.54 و 5.08 cm ) در ماسه تر قالبگيري شده و در شرايط تلقيح شده وتلقيح نشده تهيه مي شود . مقطع متالوگرافي از داخل قطعه ريخته گری و از قسمت هاي پايين ، مياني و بالاي آن گرفته مي شود .مساحت گرافيت سطح – به– حجم ( sv ) با استفاده از يك سيستم تكنيكي با به كاربردن يك دايره با ميدان 16 كه از مانیتور نمايش داده مي شود، اندازه گيري مي شود . در مجموع ، براوردي بر حسب درصد هریک از ا نواع گرافيت برای هر ميدان نمايش داده شده ، بدست می آید .
    اندازه sv با كاهش اندازه مقطع ( قطعه ) يا با افزايش نرخ انجماد افزايش عمده مي يابد . ، در نتيجه معدل پايين cm­¹ 342 از طرف بالای in 2) cm 5.08 ) در قطعه ريخته گری ( سطح مشترك تغذیه ) به يك معدل بالای cm­¹ 1231 در طرف پايين in2 )cm 5.08 ) در قطعه ريخته گری ( سطح مشترك قطعه ريخته گری ) میباشد. بصورت طبيعي پراكندگي ساختار گرافيت در داده s اثر مي گذارد . .هر چند براي يك سايز مشخص قطعه ريخته گری شده ، ميانگين s با كاهش گرافيت نوع A افزايش پيدا مي كند ( افزايش D و E ) . گرافيت نوع A بيشتر هنگاميكه سايز مقطع افزايش يافته باشد مشاهده مي شود و بسبب تلقيح مناسب ، مورد انتظار مي باشد .
    اندازه گيري sv ، نويد راهي براي ارزيابي ساختار گرافيت ، را نشان مي دهد و با استفاده از اندازه گيري اتوماتيك Image analysis از ضرورت اظهار نوع گرافيت دوري مي شود .

    مقدمه:
    چدن خاكستري طبق ضوابطي از لحاظ سايز پولك ( اعداد )و نوع پولك(حروف )شرح داده مي شود . از اين حروف و اعداد متالوگرافر براي شرح دادن ساختار گرافيت استفاده مي كند و نيز مي توان اساسي براي كنترل كيفيت چدن خاكستري تهيه كرد. براي مثال : يك التزام عمومي براي مشخصه ميكرو ساختار چدن خاكستري به ماكزيموم رساندن پولك هاي نوع A در رنج سايز 3-5 و به مينيمم رساندن پولكهاي نوع D و E را شامل مي باشد .
    پولك هاي نوع A پولك هايي هستند كه بصورت بسيار تصادفي جهت دارمي شوند و فاصله متوسط آنها بدقت مطابق با فاصله بازوي دنديريت آستنيت مي باشد . پولك هاي نوع A نسبتا به آهستگي رشد مي كنند و در ازاي مادون انجماد كم در زير دماي یوتکتیک چدن خاكستري به دست مي آيند و بزرگی اندازه مقطع قطعه ريخته شده و يا بصورت مناسب تلقيح شده بودن چدن نيز باید مورد توجه قرار گیرد.
    از سوي ديگر گرافيت D و E از اينروكه به فاصله بازوي دنديريت كمتر وابسته اند ، پولك هايي با فاصله متوسط نسبتا كم مي باشند ( بدين گونه موقعيت دندريت در ميكروساختار معلوم مي شود ) . اين پولك ها بواسطه سرعت رشد سريع سل های یوتکتیکی همراه با یک مادون انجماد نسبتا زياد( از نقطه یوتکتیک) چدن خاكستري به وجود می آید. در ساختار گرافيت D و E انجماد سريع ، كوچك بودن سايز مقطع قطعه ريخته و يا شديدا سرد كردن چدن چند فازی و تلقيح ناچيز مذاب از عوامل موثر مي باشند.
    با شناسايي سايز و نوع گرافيت مي توان مقياسي براي موفقيت تلقيح سازي تهيه كرد و مي توان به عنوان ابزاري در كنترل كيفيت از آن استفاده كرد . اگر براي مثال : يك سري از قطعات ريخته شده با داشتن 90% گرافيت نوع A معيوب مي باشند ، بخاطر عوامل بالقوه منفي که در آینده می توانند پیدا کنند ازجمله ماشين كاري يا مقاومت به خوردگي برگشت داده می شوند. در بيشتر موارد يك متالوگرافر بوسيله ميكروسكوپ به مقطع قطعه ريخته گری نگاه مي كند و قابل قبول يا غير قابل قبول بودن آنرا مي گويد . يك راي معقول به نكته بين بودن متالوگرافر، بررسي عدد ميدان نمايش داده شده،كيفيت انجام مقدمات متالوگرافي بستگي دارد .etc
    امروزه تجهيزات Image analysis براي متالوگرافر،فرصت بدست آوردن آناليزاتوماتيك درباره اجزاء مهم را فراهم مي سازد . بدست آوردن اندازه اي از شکل (morphology )گرافيت بدون تعيين نوع و اندازه ، داراي اهميت خواهد بود .اندازه گيري مساحت گرافيت سطح در واحد حجم ( sv ) مورد استفاده در اين نمونه يك عامل اندازه گيري بسیار مهم مي باشد .
    قبلا در اندازه گيري ها براي بيان ساختار متالوﮊيكي مواد مختلف از مساحت سطح در واحد حجم استفاده شده بود . بخصوص اندازه ذرات در فلزات وسراميك ها بوسيله نسبت سطح – به – حجم كه توسط Underwood يا Dehoff و Rhines تشريح شده است ، بيان شده بود . اين تكنيك ها در چدن خاكستري و هم داكتيل بكار برده شده است . در يك سري از آزمايشات بررسي كاملي در مورد ارتباط ميان استحكام كششي چدن خاكستري و شکل (morphology ) گرافيت با اندازه گيري نسبت گرافيت سطح – به – حجم به روش Bates با تعداد زيادي نمونه هاي ميله اي كشش انجام شده است . بررسي s نشان داد اساسا ساختار گرافيت نوع A بطور موافق با تغيير اندازه مقطع قطعه ريخته گی ، تغییر پيدا مي كند .
    هدف از اين كار نشان دادن اطلاعات مقدماتي است كه بيانگر ارتباط بين s اندازه گيري شده و نوع گرافيت مي باشد . این اطلاعات بوسیله انجام آزمایش در اندازه های مختلف مقطع قطعه ریخته گی با شرایط تلقیح وبدون تلقیح تهیه شده اند.

    روش آزمايش:
    قطعه ريخته گی ضخيمي در بلوك هاي 1/2 ، 1 و 2 in و( 5.081.27 ، 2.54 cm ) (اندازه كامل آن در شكل 1 قابل رويت است ) در كارخانه ريخته گريMTU تهيه شده است .


    شکل 1 طرح بلوک،قسمت برش خورده،ابعاد سه Y بلوک ریخته شده و موقعیت نمونه متالوگرافی شده را بیان می کند

    تركيب اسمي آلياﮊ كه در ماسه تر و در شرايط تلقيح شده وتلقيح نشده قالب ريزي شده است ، C 3.3% ، Si2.2% مي باشد. تلقيح سازي در پاتيل بوسيله اضافه كردن فروسيليسيم wt% 0.3 با گريد كارخانه ، بصورت رور پزی ، انجام مي پذيرد. حالت مقطع قطعه ريخته در شكل 1 نمايش داده شده و نمونه متالوگرافي بنا بر محل نمايش داده شده از پايين مركز ( BC ) مياني مركز ( MC ) و بالاي مركز ( TC ) ,و هر ورقه ( بشقاب ) 1/4in) cm 0.64), گرفته مي شود.
    مقدمات متالوگرافي پوليش با روش معمولي را شامل شده و با اچ كردن با محلول نايتال رقيق ادامه پيدا مي كند . اندازه گيري سطح- به- حجم بوسيله ميكروسكوپOlympus PME3 با اضافه كردن يك دايره ظريف به سطح تصوير تلويزيون انجام مي گيرد. در اين بررسي بصورت سيستماتيك ميدان (محيط )16 مورد آزمايش قرار مي گيرد و با بزرگ نمايي 508X محل تقاطع ميان دايره وپولك هاي گرافيت ثبت مي شود ونسبت سطح – به-حجم s با استفاده از رابطه مفروض زير محاسبه مي شود :

    sv = (2NM / C ) cm-1
    كه
    تعداد بر خورد =N
    محيط دايره C = cm
    بزرگ نمايي در صفحه تصوير تلويزيون =M

    اين اندازه گيري در شكل هاي 2a و 2b مشهود مي باشد . ريزنگاري گرافيت هاي E و D و Aبترتيب با اضافه كردن دايره تست اندازه گيري sv براي هر محيط نمايش داده شده انجام مي گيرد . معمولا براورد در 127x درباره نوع گرافيت ( بر حسب در صد ) همراه با سايز پولك گرافيت بوسیله مقايسه با مجموعه طرح هاي گرافيت استاندارد ASTM بدست می آید .


    شکل2a

    ریز نگاری نوری گرافیت نوع A در زمینه پرلیتی با تست دایره پوششی.در این ریز نگاری

    s =900cm وc=20cm،m=500،n=18


    شکل 2b

    ریز نگاری گرافیت نوع dوe در یک زمینه پرلیتی+فریتی با تست دایره پوششی.در این ریزنگاری

    s =1850cm وc=20cm،m=500،n=39

    تشريح آزمايش:

    درصد میانگین وخطای استاندارد گرافیت نوع A و دادهای سطح-به-حجم برای حالت ﭘایه وجدن تلقیح شده در هر یک از موقعیت نمونه ها و اندازهای مقطع در جدول 1 فهرست شده است.
    میانگین دادهای نقاط اختصاصی تسلیم در جدول1 و تا حدودی در شکل 3a و3b نمایش داده شده است . و همچنین عوامل موثر در نوع گرافیت (E وD ( i.e.A vs. واندازه sv برای 1/2in و (cm 1.27و 2.54 ) قطعه ریخته در موقعیت های BC وMC نمایش داده شده است. این دادها در جدول 1 و شکل 3 نمایش می شود ، به ﭘﯿروی از :


    1- برای اندازه مشخص مقطع قطعه ریخته ، هنگامیکه گرافیت نوع D و E بر حسب درصد بیشتر شود ، شمرده ( اندازه ) s بیشتر می شود . این اختلاف بیشتر برای قطعه ریخته 1/2in (1.27 cm) نسبت به قطعه ریخته 1 in (2.54 cm) اظهار می شود .


    2- sv بیشتر از cm­¹ 1231 در ﭘایین قطعه ریخته (1/2in (cm 1.27 و sv کمتر cm­¹ 342 در قسمت بالای قطعه ریخته (2in (cm 5.08 می باشد ، در نتیجه بواسطه بیشتر شدن اندازه مقطع حد متوسط s کم می شود .


    3- یک اختلاف مهم در sv و مقدار ثابت گرافیت نوع A % مشاهده می شود، شکل 3 تفاوت از 500 تا 700 را نشان می دهد .


    4- در مجموع ، تلقیح سازی ، افزایش نسبت گرافیت نوع A را ناشی می شود.
    ازیک نمودار مشترک برای (2in (cm 5.08 نتیجه شده که معمولا وقتی در قطعه ریخته گری تمام دادها نزدیک 100% گرافیت نوع A باشد در ازای آن متوسط داده s کمتر از 50 می شود .


    شکل 3b : نمودار sv به % گرافیت نوع A . داده ها از سطح 1in موقعیت BC و MC -
    Y بلوک است.


    شکل 3a : نمودار sv به % گرافیت نوع A . داده ها از سطح 1.2in موقعیت BC و MC .
    Y بلوک است.


    جدول 1: درصد گرافیت A و نسبت سطح به حجم، میانگین 16 اندازه گیری و انحراف استاندارد

    اثرات سرعت انجماد:شکل a 3 و b 3 آشکار می سازد سرعت انجماد نقش مهمی در تعیین هردوی ، نوع گرافیت و سر انجام، sv بازی می کند .در مجموع ، ازدیاد سرعت انجماد افزایش رشد سل ها را ایجاب می کند ، در نتیجه محصول یک مقیاس ریزتر از ساختار گرافیت همراه با افزایش مورد انتظار sv می باشد. همچنین سرعت انجماد بواسطه قطعه ریخته گی نسبت به قطعه گی ریخته و بواسطه نقطه تا نقطه در داخل قطعه ریخته گی تغییر می یابد . بطور آشکار سرعت انجماد در ﭘایین قطعه ریخته گی از قسمت میانی بیشتراست .
    این اختلاف در سرعت انجماد می تواند بیان کننده ناهنجاری باشد هر چند به طئر هماهنگ مدول قطعه ریختگی و قانون چورنیو برای قالب های عایق استفاده گردد.


    Ts= Bs (M )2

    مدول = M
    پایداری مذاب = Bs
    زمان انجماد =Ts


    مدول برایin 2/1مقطعY بلوک درMc,Bc در مرکز هر مقطع از از موارد زیر پیروی می کند :


    MBC=VS/AS = d²×1/3(d×1)
    و
    MMC= VS/AS = d²×1/2(d×1)
    سرعت انجماد واز این رو نرخ رشد سل های یوتکتیکی معکوسا متناسب اند با طرحA.M یا Sv وl/m برای مقطع 1/2و1وin2 ودر شکل 4 معلوم است,که در این نمودار معدل برای هر دو حالت پایه و چدن تلقیح شده رسم شده است .و تمایل افزایش sv با افزایش سرعت انجماد را ارائه می دهد.


    شکل 4 : نمودار sv به 1/m میانگین برای نمونه های آهن پایه و تلقیح شده


    اثر تلقیح سازی در این نمونه ها آشکار نمی باشد . به طورمتوسط برای یک حالت معین از نمونه تلقیح شده در مقایسه با چدن اولیه کاهش sv مورد انتظاردر اینجا به وضوح نشان داده نمیشود.پراکندگی در داده هابه وسیله خطای استاندارددر اندازه گیری sv در جدول شماره1نشان داده شده است.در اغلب موارد تفاوتهای بزرگی در متوسط sv مابین چدن پایه و چدن تلقیح شده مشاهده می شود و برای رفع کردن اختلاف هاداشتن اطلاعات بیشتر ضروری است.

    منبع : سایت فلزات

  12. #140
    پروفشنال boomba's Avatar
    تاريخ عضويت
    May 2006
    پست ها
    782

    پيش فرض

    تیتانیوم


    تیتانیوم خالص تجاری و آلیاژهای تیتانیومی آلفا و شبه آلفا به طور کلی نشان داده اند که مقاومت خوبی در مقابل خوردگی دارند . آنها جزء این دسته از آلیاژهای تیتانیوم هستند که قابلیت جو شکاری دارند .تیتانیوم خالص معمولاً دارای مقداری اکسیژن آلیاژ شده با آن است که استحکام تیتانیوم خالص تحت تاثیر مقدار این عناصر بین نشینی ( اکسیژن و نیتروژن ) در ساختار تیتانیومی است . آلیاژهای آلفا معمولاً دارای مقدار بالایی از آلومینیوم هستند که موجب مقاومت به اکسایش این آلیاژ در دماهای بالا می شوند . ( آلیاژهای آلفا – بتا همچنین دارای یک عنصر آلیاژی اصلی هستند که آلومینیوم است اما اولین دلیل آن برای پایدار کردن فاز آلفا است ) .آلیاژهای آلفا را نمی توان برای افزایش خواص مکانیکی بالا تحت عملیات حرارتی قرار داد زیرا یک آلیاژ تک فاز به حساب می آید . اضافه کردن عناصر آلیاژی به تیتانیوم خالص قابلیت عملیات حرارتی برای این آلیاژها یا کار در دمای بالا را چون به صورت یک ساختار دو فازی حاصل شده اند ( آلفا – بتا ) ، ایجاد می کند. آلیاژهای بتا نیمه پایدار هستند ، به این منظور که تمایل به تغییر فاز برای یک حالت تعادلی یا بالانسی از ساختارها دارند . آلیاژهای بتا استحکامی به واسطه ، استحکام ذاتی شان ، ناشی از ساختار بتا و رسوب فاز آلفا و دیگر فازها از آلیاژها در طراحی فرآیندهای عملیاتی حرارتی به دست می آورند . با اهمیت ترین فایده و مزیت به دست آمده از ساختارهای بتا ، افزایش شکل پذیری آنها در ارتباط با دیگر ساختارهای هگزاگونال از جمله آلفا و آلفا – بتا است . تیتانیوم آلومیند از آلیاژهای متداول تیتانیومی متفاوت هستند زیرا آنها به طور اساسی ترکیباتی هستند که باعث افزایش استحکام و قابلیت شکل پذیری و دیگر خواص می شوند . تیتانیوم آلومیندی کاربردهای دمای بالاتر نسبت به آلیاژهای تیتانیومی دارند اما قیمت تمام شده بیشتر و به طور کل داکتیلیته و قابلیت فرم پذیری کمتری خواهند داشت .

    آلیاژهای تیتانیموم

    تیتانیوم وآلیاژهای آن پتانسیل بالایی در خیلی از کاربردهای خاص دارند ولی بایستی قبل از طراحی و استفاده از آن ، برخی از واقعیتها را درمورد آن مطلع بوده و مد نظر داشت که بیشتر آن در ادامه آورده شده است . محصولات شکل داده شده تیتانیوم به راحتی در دسترس می باشند ولی ریخته گری شده آن محدودتر است . آلیاژهای شکل داده شده از فاکتورهای تجربی خوبی برخوردار می باشند . هر چند که آلیاژهای ریخته گری از لحاظ وزن و قیمت مقرون به صرفه هستند . ریخته گری تحت فشار ایزواستاتیک گرم می تواند محصولاتی در مقایسه با استحکام کاربردی محصولات شکل داده شده را برای بیشتر فلزات حاصل کند . آلیاژهای پودری خیلی بیشتر مورد قبول هستند . همچنین فرآیندهای پودر ( متالورژی پودر ) امکان ترکیب آلیاژهای نا متعارف تری را نسبت به هم می دهد . اگر در این فرآیند به واسطه بر هم کنش تیتانیوم با گازهای بین شبکه همچون N2 & O2 ، روشهای پیچیده بایستی اتخاذ شود . بنابراین آلیاژهای پودری تیتانیوم بایستی بسیار گران و پر هزینه در کاربردهای مختلف باشند سطح خواص آلیاژهای پودر ممکن است به حد انتظار ترکیبات شیمیایی نرسد . با این حال با متالورژی پودر این امکان وجود دارد که با بدست آوردن محصولی ترکیبی به شکل نهایی محصول مورد نظر امکان جبران قیمت تمام شده باشد و دلیلی بر اینکه حداقل یک پتانسیل برای هزینه های پایین تر هنگامی که در طی پروژه منظور می شود وجود داشته باشد .

    آلیاژهای ریخته گری شده یا پودری تیتانیومی همیشه امکان انتخاب در کاربردهای سازه را دارا می باشند . اما بایستی برنامه ریزی برای این قبیل استفاده ها در همان مراحل اولیه طراحی مد نظر قرار گیرد نه اینکه تلاش شود تا مواد به دست آمده پودری یا ریخته گری شده در مراحل نهایی کار به جای مواد شکل داده شده قرار گیرند . این معقول به نظر می رسد که موقع انتخاب آلیاژهای تیتانیومی از عمومی ترین آلیاژِها استفاده شود مگر در مواقعی که خاصیت خاصی از این فلز مد نظر باشد تا یک آلیاژ خاص در نظر گرفته شود ( مثلاً Ti-6AL- 4v دارای خواص متعدد و زیادی است اما مصارف خاصی دارد ) .

    Handbook ها و مراجع مربوط به مواد و از این قبیل کتابها برای طراحی بسیار با ارزش هستند . اما هیچ جانشینی را برای تماس با تأمین کننده و سازنده وجود ندارد . خواص و ویژگیهای از این قبیل شرایط فرم دهی غیر معمول و یا فرآیند غیر ایده آل ریخته گری را برای این فلز نبایستی عملیات سرد کردن و گرم کردن غیر معمولی را برای خواص در نظر گرفت . خواص مواد ریخته گری شده و پودری در محدوده پایین تر نسبت به آلیاژهای شکل داده شده قرار دارد . به طوری که خواص مشترک آنها به سختی به همدیگرقابل مقایسه هستند . اما داده های بدست آمده پراکنده در ریخته گری و همچنین متالورژی پودر ممکن است پایین تر از حداقل های طراحی را نتیجه بدهد . اگر یک طراحی پذیرفته شود بدون هیچ انعطافی با رعایت سطح خواص آن مشخص شده ، این طراحی ممکن است به صورت غیر قابل برگشت پذیری بعداً مورد سؤ ظن و گمان باشد . صنایع فضایی به دنبال بهترین خصوصیت وبهینه ترین آنها هستند . هنگامی که تیتانیوم در کاربردهایی با بحرانیت کمتر استفاده می شود ، دقت کمتری در خواص بایستی در نظر گرفته شود و این امکان وجود دارد تا هزینه و زمان کاهش داده شود . امروزه دز ایران علاوه بر صنایع هوایی و نظامی رویکردی خاص به این فلز در صنایع شیمیایی به خصوص در صنایع پتروشیمی دیده می شود که این به نوبه خود باعث ایجاد مجال مناسبی جهت کار بر روی ابن فلز و تهیه روشهای استاندارد تولید تجهیزات تیتانیومی در ایران می گردد .
    ساختار تیتانیوم به طور کلی نقطه ذوب تیتانیوم در حدود 1660 درجه سانتیگراد می باشد . اما بیشتر آلیاژهای تجاری آلومینیوم در دمای 538 درجه سانتیگراد کاربرد دارند . تیتانیوم دارای دو ساختار کریستالی است ، در یکی از آنها اتمها در ساختار مکعبی مرکزدار( bcc ) قرارگرفته اند و در دیگر اتمها در یک ساختار شش وجهی فشرده یا هگزا گونال ( HCP ) قرار دارند . ساختار مکعبی مرکز دار ( bcc ) تنها در دمای بالا به دست می آید بجز در مواردی که تیتانیوم با دیگر عناصر برای ثبات پایدار ساختار مکعبی در دمای پایین آلیاژ شده است .

    دو ساختار کریستالی تیتانیوم به عنوان ساختارهای b ، a شناخته می شوند . a اشاره دارد به ساختارهای هگزاگونال تیتانیوم چه به صورت آلیاژ یا خالص و ساختار b مربوط به ساختارهای مکعبی یا آلیاژهای آن است . ساختارهای b ، a در بعضی مواقع به عنوان سیستم ها یا نوع هایی از سیستم شناخته می شوند که آن را به چهار دسته آلیاژهای a و شبه a یا نزدیک به a و a / b و a تقسیم بندی می کنند .این ترکیبات نشان دهنده تمامی عناصر آلیاژی تیتانیوم نیست اما بیشتر عناصر استفاده شده در طراحی آلیاژهای تیتانیوم را شامل می شود .



    ساختار تیتانیوم به طور کلی:
    نقطه ذوب تیتانیوم در حدود 1660 درجه سانتیگراد می باشد . اما بیشتر آلیاژهای تجاری آلومینیوم در دمای 538 درجه سانتیگراد کاربرد دارند . تیتانیوم دارای دو ساختار کریستالی است ، در یکی از آنها اتمها در ساختار مکعبی مرکزدار( bcc ) قرارگرفته اند و در دیگر اتمها در یک ساختار شش وجهی فشرده یا هگزا گونال ( HCP ) قرار دارند . ساختار مکعبی مرکز دار ( bcc ) تنها در دمای بالا به دست می آید بجز در مواردی که تیتانیوم با دیگر عناصر برای ثبات پایدار ساختار مکعبی در دمای پایین آلیاژ شده است .
    دو ساختار کریستالی تیتانیوم به عنوان ساختارهای b ، a شناخته می شوند . a اشاره دارد به ساختارهای هگزاگونال تیتانیوم چه به صورت آلیاژ یا خالص و ساختار b مربوط به ساختارهای مکعبی یا آلیاژهای آن است .
    ساختارهای b ، a در بعضی مواقع به عنوان سیستم ها یا نوع هایی از سیستم شناخته می شوند که آن را به چهار دسته آلیاژهای a و شبه a یا نزدیک به a و a / b و a تقسیم بندی می کنند .
    این ترکیبات نشان دهنده تمامی عناصر آلیاژی تیتانیوم نیست اما بیشتر عناصر استفاده شده در طراحی آلیاژهای تیتانیوم را شامل می شود .

    اطلاعات بیشتری در مورد ساختار تیتانیوم:
    تیتانیوم خالص تجاری به صورت ساختار a است . اضافه کردن برخی از عناصر آلیاژی به تیتانیوم خالص تجاری محدوده را برای ریز ساختارهای آلیاژی ایجاد می کند . با داشتن سطح مطلوبی از عناصر آلیاژی b ، فاز b در طول گرم کردن تولید می شود و در حین فرآیند سرد کردن در ادامه یک فرآیند گرم به ساختار دیگر منتقل می شود . ساختار حاصله در این مورد را آلیاژهای b ، a می نامند ( فاز b به a تبدیل می شود ولی فاز باقی مانده هم خواهیم داشت ) تغییرات در آلیاژهای متمایز می شود با محدوده وسیعی از ساختار وخواص شیمیایی آلیاژ که لازمه یک آلیاژ a می باشد . این تغییرات به صورت ترم ساختاری near - a ( ساختارهای شبه a یا نزدیک به a ) هستند . ساختار را بایستی به طور کلی به عنوان نیمه پایدار شناخت . آلیاژها با ساختار b در حین سرد کردن تا دمای اتاق به دست می آیند .
    آلومیندهای تیتانیومی ترکیبات بین فلزی هستند که از تیتانیوم وآلومینیوم ( به همراه یک یا بیشتر از عناصر آلیاژی ) به دست می آیند.
    Last edited by boomba; 01-05-2009 at 19:22.

Thread Information

Users Browsing this Thread

هم اکنون 2 کاربر در حال مشاهده این تاپیک میباشد. (0 کاربر عضو شده و 2 مهمان)

User Tag List

برچسب های این موضوع

قوانين ايجاد تاپيک در انجمن

  • شما نمی توانید تاپیک ایحاد کنید
  • شما نمی توانید پاسخی ارسال کنید
  • شما نمی توانید فایل پیوست کنید
  • شما نمی توانید پاسخ خود را ویرایش کنید
  •